News Posts matching #Samsung

Return to Keyword Browsing

SK Hynix Targets HBM3E Launch This Year, HBM4 by 2026

SK Hynix has unveiled ambitious High Bandwidth Memory (HBM) roadmaps at SEMICON Korea 2024. Vice President Kim Chun-hwan announced plans to mass produce the cutting-edge HBM3E within the first half of 2024, touting 8-layer stack samples already supplied to clients. This iteration makes major strides towards fulfilling surging data bandwidth demands, offering 1.2 TB/s per stack and 7.2 TB/s in a 6-stack configuration. VP Kim Chun-hwan cites the rapid emergence of generative AI, forecasted for 35% CAGR, as a key driver. He warns that "fierce survival competition" lies ahead across the semiconductor industry amidst rising customer expectations. With limits approaching on conventional process node shrinks, attention is shifting to next-generation memory architectures and materials to unleash performance.

SK Hynix has already initiated HBM4 development for sampling in 2025 and mass production the following year. According to Micron, HBM4 will leverage a wider 2048-bit interface compared to previous HBM generations to increase per-stack theoretical peak memory bandwidth to over 1.5 TB/s. To achieve these high bandwidths while maintaining reasonable power consumption, HBM4 is targeting a data transfer rate of around 6 GT/s. The wider interface and 6 GT/s speeds allow HBM4 to push bandwidth boundaries significantly compared to prior HBM versions, fueling the need for high-performance computing and AI workloads. But power efficiency is carefully balanced by avoiding impractically high transfer rates. Additionally, Samsung is aligned on a similar 2025/2026 timeline. Beyond pushing bandwidth boundaries, custom HBM solutions will become increasingly crucial. Samsung executive Jaejune Kim reveals that over half its HBM volume already comprises specialized products. Further tailoring HBM4 to individual client needs through logic integration presents an opportunity to cement leadership. As AI workloads evolve at breakneck speeds, memory innovation must keep pace. With HBM3E prepping for launch and HBM4 in the plan, SK Hynix and Samsung are gearing up for the challenges ahead.

Samsung Showcases B2B Displays with Advanced Connectivity at ISE 2024

Samsung Electronics today at Integrated Systems Europe (ISE) 2024 in Barcelona is showcasing how SmartThings will bolster its B2B displays to shape the future of business connectivity. Samsung's "SmartThings for Business" exhibition emphasizes the new advancements that the cutting-edge internet-of-things (IoT) platform will offer, as well as Samsung's commitment to providing more connected, easy-to-control digital signage across industries. "In a commercial display sector where operational efficiency is key, Samsung digital signage is leveraging SmartThings to deliver next-gen connectivity and features to organizations of all sizes," said SW Yong, President and Head of Visual Display Business at Samsung Electronics. "This further expansion of the SmartThings ecosystem will serve to elevate experiences for customers and partners from a wide variety of industries."

How Businesses Can Leverage Connected Tech Through SmartThings—From the Smart Store to Smart Office
At the event, Samsung is showcasing how SmartThings enables business owners to leverage their digital signage to connect and gain more control of their smart devices across various landscapes. By offering the SmartThings connectivity feature to commercial display products such as Smart Signage and Hotel TVs, users can experience the convenience of hyper-connectivity in their business environments. These changes will include Samsung smart devices, as well as other devices that support the industry's latest IoT specifications, Matter and the Home Connectivity Alliance (HCA). Through the application of SmartThings to various business environments, Samsung contributes to the more efficient management of space and energy by transforming places of business into interconnected smart spaces. These connectivity improvements have been designed to benefit all types of business customers, from small and mid-sized business owners to enterprises. Examples of the smart spaces—including a smart store, smart office and smart hotel—are on display at Samsung's booth at ISE 2024.

Samsung to Also Showcase 280-layer 3D QLC NAND Flash, 32 Gbit DDR5-8000 Memory Chips at IEEE-SSCC

In addition to the 37 Gbps GDDR7 memory, Samsung Electronics prepares to showcase several other memory innovations at the 2024 IEEE-SSCC as compiled by VideoCardz. To begin with, the company is showcasing a new 280-layer 3D QLC NAND flash memory in the 1 Tb density, enabling next generation of mainstream SSDs and smartphone storage. This chip offers an areal density of 28.5 Gb/mm², and a speed of 3.2 GB/s. To put this into perspective, the fastest 3D NAND flash types powering the current crop of flagship NVMe SSDs rely on 2.4 GB/s of I/O data rates.

Next up, is a new generation DDR5 memory chip offers data rates of DDR5-8000 with a density of 32 Gbit (4 GB). This chip uses a symmetric-mosaic DRAM cell architecture, and is built on a 5th generation 10 nm class foundry node Samsung optimized for DRAM products. What's impressive about this chip is that it will allow PC memory vendors to build 32 GB and 48 GB DIMMs in single-rank configuration with DDR5-8000 speeds; as well as 64 GB and 96 GB DIMMs in dual-rank configuration (impressive, provided your platform can play well with DDR5-8000 in dual-rank).

Samsung to Demo 37 Gbps GDDR7 Memory Next Month

Samsung Electronics will demonstrate its next generation GDDR7 memory chips at the IEEE Solid State Circuit Conference (SSCC), to be held in San Francisco in February. The company had teased GDDR7 way back at its Tech Day in 2022. The GDDR7 memory standard is targeted squarely at graphics cards and game consoles, it offers a 2x bandwidth gain over the current GDDR6. Samsung is expected to showcase a GDDR7 chip that's capable of 37 Gbps data-rate, with 16 Gbit (2 GB) density.

The GDDR7 memory standard leverages PAM3 signaling to achieve these high data-rates. The current GDDR6 standard uses NRZ signaling, while its off-shoot GDDR6X co-developed by NVIDIA and Micron Technology relies on PAM4 signaling. The standard also features four read clock modes, which should help with power management when the device is idling. Both NVIDIA and AMD are expected to implement GDDR7 with their next-generation GPUs. GDDR7 enters mass-production this year, and will feature in NVIDIA's GeForce RTX 50-series "Blackwell" graphics cards, as well as AMD Radeon RX 8000 series RDNA4.

Intel Lunar Lake-MX to Embed Samsung LPDDR5X Memory on SoC Package

According to sources close to Seoul Economy, and reported by DigiTimes, Intel has reportedly chosen Samsung as a supplier for its next-generation Lunar Lake processors, set to debut later this year. The report notes that Samsung will provide LPDDR5X memory devices for integration into Intel's processors. This collaboration could be a substantial win for Samsung, given Intel's projection to distribute millions of Lunar Lake CPUs in the coming years. However, it's important to note that this information is based on a leak and has not been officially confirmed. Designed for ultra-portable laptops, the Lunar Lake-MX platform is expected to feature 16 GB or 32 GB of LPDDR5X-8533 memory directly on the processor package. This on-package memory approach aims to minimize the platform's physical size while enhancing performance over traditional memory configurations. With Lunar Lake's exclusive support for on-package memory, Samsung's LPDDR5X-8533 products could significantly boost sales.

While Samsung is currently in the spotlight, it remains unclear if it will be the sole LPDDR5X memory provider for Lunar Lake. Intel's strategy involves selling processors with pre-validated memory, leaving the door open for potential validation of similar memory products from competitors like Micron and SK Hynix. Thanks to a new microarchitecture, Intel has promoted its Lunar Lake processors as a revolutionary leap in performance-per-watt efficiency. The processors are expected to utilize a multi-chipset design with Foveros technology, combining CPU and GPU chipsets, a system-on-chip tile, and dual memory packages. The CPU component is anticipated to include up to eight cores, a mix of four high-performance Lion Cove and four energy-efficient Skymont cores, alongside advanced graphics, cache, and AI acceleration capabilities. Apple's use of on-package memory in its M-series chips has set a precedent in the industry, and with Intel's Lunar Lake MX, this trend could extend across the thin-and-light laptop market. However, systems requiring more flexibility in terms of configuration, repair, and upgrades will likely continue to employ standard memory solutions like SODIMMs and/or the new CAMM2 modules that offer a balance of high performance and energy efficiency.

Samsung Introduces the 990 EVO SSD with PCIe 5.0 x2 Interface

Samsung Electronics America today announced the release of the 990 EVO SSD, delivering solid performance for everyday tasks, improved interface compatibility to match the systems consumers own, and better energy efficiency. Designed to enhance everyday computing experiences like gaming, working, and video/photo editing, the NVMe SSD provides an ideal solution for a diverse range of users.

"The 990 EVO delivers a hybrid storage solution that lets you easily bring flexibility and future proof your setup," said Jose Hernandez, Senior Director of Memory Product Marketing at Samsung. "These drives balance performance, power efficiency and reliability, making them a versatile choice for the latest interface, and great for a variety of everyday tasks, like gaming, working, editing and more."

Qualcomm Announces Snapdragon 8 Gen 3 Mobile Platform for Galaxy S24 Series

Qualcomm Technologies, Inc. announced that the premium Snapdragon 8 Gen 3 Mobile Platform for Galaxy is powering Samsung Electronics Co. Ltd.'s latest flagship Galaxy S24 Ultra globally and Galaxy S24 Plus and S24 in select regions. The Samsung Galaxy S24 series introduces Galaxy AI, taking advantage of the new and enhanced Snapdragon 8 Gen 3 for Galaxy, the titan of intelligence and leader in performance and power efficiency.

"We're proud to continue working alongside Samsung to lead this new era for the mobile industry and provide consumers with extraordinary premium experiences," said Chris Patrick, senior vice president and general manager of mobile handset, Qualcomm Technologies, Inc. "Snapdragon 8 Gen 3 for Galaxy instills its advanced AI capabilities in the Galaxy S24 series, to enable new experiences with AI features to empower users' everyday life. It also fuels advanced professional-quality camera, gaming experiences and ultra-fast connectivity including Wi-Fi 71, plus offers one of the most reliable authentication solutions available with our Qualcomm 3D Sonic Gen 2 technology."

Price War Reportedly Unfolds Between Foundries in China, Taiwan & South Korea

News reports from Asia point to an ongoing price battle between major chip foundries in the region—sluggish market conditions in 2023 have caused the big industry names to adjust charges, in concerted efforts to retain customers. This situation has escalated in early 2024—news media outlets claim that mainland China-situated factories have plenty of new production capacity, and are therefore eager to get their order books filled. The reports point to: "Semiconductor Manufacturing International Corporation (SMIC), Hua Hong Semiconductor and Jinghe Semiconductor lowering the price of tape-out services to chip design companies in Taiwan." Industry insiders believe that several Taiwanese IC designers have jumped onto better deals, as offered by Chinese facilities—it is alleged that Samsung, GlobalFoundries, UMC and Powerchip have all experienced a worrying increase in customer cancellations (at the tail end of 2023). The loss of long-term clients has forced manufacturers—in South Korea and Taiwan—into a price war.

TrendForce's analysis of market trends stated: "Due to the mature manufacturing processes in China, unaffected by US export restrictions, the lowered wafer fabrication costs have become attractive to Taiwanese IC design companies seeking to enhance their cost competitiveness. Reports also indicate that this competitive pressure has forced Taiwan's foundries, UMC and PSMC, to follow suit by reducing their prices. UMC has lowered its 12-inch wafer foundry services by an average of 10-15%, while its 8-inch wafer services have seen an average price reduction of 20%. These price adjustments took effect in the fourth quarter of 2023." Samsung is reportedly slashing prices by ~10-15%, and is expressing a "willingness to negotiate" with key clients in early 2024. Reports state this is a major change in attitude for the South Korean chip giant—allegedly, leadership was unwilling to budge on 2023 tape-out costs. TrendForce reckons that TSMC's response was a bit quicker: "(having) already initiated pricing concessions last year, mainly related to mask costs rather than wafer fabrication. It was reported that these concessions primarily applied to the 7 nm process and were dependent on order volumes."

Samsung Announces the Galaxy S24 Series with Mobile AI

Samsung Electronics today unveiled the Galaxy S24 Ultra, Galaxy S24+ and Galaxy S24, unleashing new mobile experiences with Galaxy AI. Galaxy S series leads the way into a new era that will forever change how mobile devices empower users. AI amplifies nearly every experience on Galaxy S24 series, from enabling barrier-free communication with intelligent text and call translations, to maximizing creative freedom with Galaxy's ProVisual Engine, to setting a new standard for search that will change how Galaxy users discover the world around them.

"The Galaxy S24 series transforms our connection with the world and ignites the next decade of mobile innovation," said TM Roh, President and Head of Mobile eXperience (MX) Business at Samsung Electronics. "Galaxy AI is built on our innovation heritage and deep understanding of how people use their phones. We're excited to see how our users around the world empower their everyday lives with Galaxy AI to open up new possibilities."

Industry Insider Predicts Steep SSD Price Climbs for Q1Y24

An anonymous industry source has divulged a grim set of near-future circumstances to Tom's Hardware—they believe that "NAND packages consisting of four and eight NAND devices are already in short supply," thus causing an expected "skyrocketing" of prices within higher-capacity consumer SSD product lines. This sharp climb could happen within the first quarter of 2024, and the article outlines early warning signs—"price upticks are starting to show in retail already" as documented in graphs generated by CamelCamelCamel for a sampling consisting of three 2 TB NVMe models (Samsung 990 Pro, CORSAIR MP600 PRO LPX & Team Group MP44). Their analysis of this situation continued: "A single-sided SSD in an M.2-2280 form factor can carry four 3D NAND packages. Modern 2 TB and 4 TB drives in this form factor tend to use packages consisting of four or eight 3D NAND devices to ensure high performance. There is already a shortage of these packages today as SSD makers are struggling to find adequate supply."

Late summer going into the autumn of 2023 presented a great time to pick up SSD bargains, since manufacturers had flooded the market with far too much stock (following an oversupply of NAND units). The unnamed source believes that it could take two to three months for early 2024 NAND shortages to cause large ripple effects within consumer and enterprise markets. A January 9-dated TrendForce report proposes that NAND Flash contract prices are set to rise by 15 to 20% in Q1Y24, although Tom's Hardware reckons that this "acceptance of new rates is more likely among notebook makers." TrendForce anticipates enterprise SSD contract pricing to increase by roughly 18% - 23% within the first quarter of 2024.

Worldwide Semiconductor Revenue Declined 11% in 2023, Intel Reclaims No. 1 Spot

Worldwide semiconductor revenue in 2023 totaled $533 billion, a decrease of 11.1% from 2022, according to preliminary results by Gartner, Inc.

"While the cyclicality in the semiconductor industry was present again in 2023, the market suffered a difficult year with memory revenue recording one of its worst declines in history," said Alan Priestley, VP Analyst at Gartner. "The underperforming market also negatively impacted several semiconductor vendors. Only 9 of the top 25 semiconductor vendors posted revenue growth in 2023, with 10 experiencing double-digit declines."

The combined semiconductor revenue of the top 25 semiconductor vendors declined 14.1% in 2023, accounting for 74.4% of the market, down from 77.2% in 2022.

Samsung Shows Off Transparent MICRO LED Displays at CES 2024

Transparent LEDs are poised to redefine viewing experiences, making the line between content and reality virtually indistinguishable. Leveraging this groundbreaking technology, Samsung Electronics has upleveled its leading MICRO LED display to expand how users enjoy visual content. The company's Transparent MICRO LED display was unveiled for the first time at Samsung First Look 2024 on January 7 (local time)—ahead of this week's Consumer Electronics Show (CES) 2024, the world's largest consumer electronics and information technology exhibition held in Las Vegas from January 9 to 12.

Combining superior craftsmanship with six years of tireless research and development, this new modular MICRO LED wowed attendees with its futuristic design. The Transparent MICRO LED's crystal-clear, glass-like display has revolutionized the viewing experience and attracted the attention of global consumers.

Samsung Demos AI-Powered Galaxy Book4 Series at CES 2024

Samsung Electronics showcased its AI-strengthened Galaxy ecosystem at the Consumer Electronics Show (CES) 2024 in Las Vegas from January 9-12. The dedicated Mobile Experience Zone at Samsung's booth pulled attendees in for one last glimpse at the company's top innovations where visitors could experience the latest Galaxy products, including the Galaxy Book4 Series, and view how they are strengthened by partnerships with industry leaders. Samsung Newsroom visited the site and summarized the main highlights of the event. Read on to learn how the company is driving the hyper-connected mobile experience of the future.

New, Exciting AI Capabilities With the Galaxy Book4 Series
The new Galaxy Book4 Series was spotlighted near the entrance of the zone. Attendees could experience the strong AI performance and the incredibly responsive touchscreen display of the Galaxy Book4, released on January 4 in Korea. The Galaxy Book4 series is equipped with the new Intel Core Ultra Processor, offering expanded connectivity and a high-performance AI experience. The zone featured up-close demonstrations of the laptop's increased processing power, made possible by a newly-added Neural Processing Unit (NPU).

Samsung Freestyle Looks Like a Studio Lamp, But is a Projector

Samsung Display at the 2024 International CES showed off its latest Freestyle line of projectors. You can have these set up on the floor, or have them mounted on the ceiling, with the device automatically correcting the keystone (tilt in the projection). You can also have it paired with a battery base that aligns almost flush with the base of the Freestyle. Other accessories include a canister that lets you safely transport it, a frosty white lens cover, and a lightbulb socket adapter. As a projector, you get a short-through Full HD output up to 8.8 feet away, for screens as large as 100-inch. This is a smart projector, and is backed by a Samsung in-house OS based on Android, which comes with Bixby AI voice assistant. On our way out of the brief stroll in this pre-CES booth, we also spied Music Frame, which is quite literally a square photo frame with an in-built Bluetooth speaker set.

Samsung To Unveil New 'Designed for Samsung Gaming Hub' Partner Accessory Program at CES 2024

Samsung Electronics has announced details of the "Designed for Samsung Gaming Hub" program that will be showcased at CES 2024. The objective of the program is to work with leading gaming accessory companies to drive the next generation of best-in-class partner products for the Samsung Gaming Hub, Samsung's game streaming platform. In addition, the company announced its partnership with gaming accessory provider PDP (Performance Designed Products LLC), the first "Designed for Samsung Gaming Hub" controller.

"Samsung recognizes the indispensable role of accessories in elevating gaming experiences," said Jiho Ha, Head of Service Partnerships Group at Samsung Electronics. "Building on our already-high level of compatibility, the 'Designed for Samsung Gaming Hub' program will see us collaborating with trusted partners to craft accessories that further enhance the premier experience of the Samsung Gaming Hub."

Samsung Electronics and Red Hat Partnership to Lead Expansion of CXL Memory Ecosystem with Key Milestone

Samsung Electronics Co., Ltd a world leader in advanced memory technology, today announced that for the first time in the industry, it has successfully verified Compute Express Link (CXL) memory operations in a real user environment with open-source software provider Red Hat, leading the expansion of its CXL ecosystem. Due to the exponential growth of data throughput and memory requirements for emerging fields like generative AI, autonomous driving and in-memory databases (IMDBs), the demand for systems with greater memory bandwidth and capacity is also increasing. CXL is a unified interface standard that connects various processors, such as CPUs, GPUs and memory devices through a PCIe interface that can serve as a solution for limitations in existing systems in terms of speed, latency and expandability.

"Samsung has been working closely with a wide range of industry partners in areas from software, data centers and servers to chipset providers, and has been at the forefront of building up the CXL memory ecosystem," said Yongcheol Bae, Executive Vice President of Memory Product Planning at Samsung Electronics. "Our CXL partnership with Red Hat is an exemplary case of collaboration between advanced software and hardware, which will enrich and accelerate the CXL ecosystem as a whole."

Samsung Expands Odyssey Gaming Monitor Lineup With New OLED Models at CES 2024

Samsung Electronics America today announced new Odyssey OLED gaming monitors debuting at CES 2024, the world's biggest tech event owned and produced by the Consumer Technology Association (CTA), in Las Vegas next week. The new OLED models deliver the performance and visual clarity gamers look for in their monitors, and include the Odyssey OLED G9 (Model Name: G95SD), Odyssey OLED G8 (Model Name: G80SD), and the Odyssey OLED G6 (Model Name: G60SD).

The Odyssey OLED G9 is a 49" curved ultra-wide gaming monitor with DQHD (5,120×1,440) resolution and a 32:9 aspect ratio and other upgraded features. The Odyssey OLED G8 is the first flat 32-inch Samsung OLED gaming monitor with 4K UHD (3,840×2,160) resolution and a 16:9 aspect ratio. Both monitors have a 240 Hz refresh rate and 0.03 ms GTG response time. The Odyssey OLED G6 is a 27" QHD (2,560×1,440) monitor which supports a 16:9 aspect ratio, 360 Hz refresh rate and 0.03 ms GTG response time.

Samsung Electronics and Red Hat Partnership To Lead Expansion of CXL Memory Ecosystem With Key Milestone

Samsung Electronics, a world leader in advanced memory technology, today announced that for the first time in the industry, it has successfully verified Compute Express Link (CXL) memory operations in a real user environment with open-source software provider Red Hat, leading the expansion of its CXL ecosystem.

Due to the exponential growth of data throughput and memory requirements for emerging fields like generative AI, autonomous driving and in-memory databases (IMDBs), the demand for systems with greater memory bandwidth and capacity is also increasing. CXL is a unified interface standard that connects various processors, such as CPUs, GPUs and memory devices through a PCIe interface that can serve as a solution for limitations in existing systems in terms of speed, latency and expandability.

Not Just TSMC, Even Samsung Running Behind Schedule with its U.S. Fab

Delays in the construction of its U.S. based semiconductor fab may have just cost the Chairman of TSMC his job, but the Koreans aren't faring any better. BusinessKorea reports that Samsung Electronics has pushed the timeline for mass-production in its upcoming Austin Texas-based fab to 2025. Its construction was originally slated to be complete by now, with risk production and testing through early 2024, and mass production later in the year, which has all been pushed to 2025. The company now hopes to push its first wafer toward the end of 2024, with mass production expected some time in 2025.

Samsung reportedly blames issues with U.S. Government subsidies and regulatory problems behind the delays. A key aspect of getting cutting edge Asian foundries such as TSMC and Samsung to invest in the U.S. had to do with government subsidies to help these fabs overcome the uphill task of doing so Stateside and making the venture profitable. The U.S. had a sense of urgency in bringing these companies over, as it saw a potential conflict across the Taiwan straits, which threatened to disrupt practically the entire global digital economy. The company's first production line in this foundry was expected to be 4 nm EUV FinFET. It remains to be seen just how relevant and cutting edge 4 nm EUV is in 2025, as both TSMC and Intel hope to have Nanosheet transistors and nodes such as the TSMC N2 and Intel 20A taking shape by then.

Samsung and Naver Developing an AI Chip Claiming to be 8x More Power Efficient than NVIDIA H100

Naver, the firm behind the HyperCLOVA X large language model (LLM), has been working with Samsung Electronics toward the development of power-efficient AI accelerators. The collaboration brings Naver's expertise with Samsung's vast systems IP over silicon design, the ability to build complex SoCs, semiconductor fabrication, and its plethora of DRAM technologies. The two recently designed a proof of concept for an upcoming AI chip, which they iterated on an FPGA. Naver claims the AI chip it is co-developing with Samsung will be 8 times more energy efficient than an NVIDIA H100 AI accelerator, but did not elaborate on its actual throughput. Its solution, among other things, leverages energy-efficient LPDDR memory from Samsung. The two companies have been working on this project since December 2022.

Intel, TSMC, and Samsung, Demo CFETs at IEEE IEDM Conference, Near Doubling in Transistor Densities in Sight

Last week at the IEEE International Electron Devices Meeting, the world's top-three semiconductor foundries, TSMC, Intel (Intel Foundry Services or IFS), and Samsung Electronics, demonstrated their respective approaches to an evolutionary new transistor device called the CFET, or complementary field-effect transistors. A CFET is a kind of 3-D transistor that stacks both kinds of FETs needed for CMOS logic. All three fabs are transitioning from FinFET to nanosheets, or GAAFETs (gates all-around FETs).

While FinFETs use vertical silicon fins, with gates controlling the flow of current through them; while in a nanosheet, the vertical fin is cut into a set of ribbons, each surrounded by the gate. A CFET is essentially a taller nanosheet device in which uses half of the available ribbons for one device, and the other half for another. This device builds the two types of transistor, nFETs and pFETs on top of each other, in an integrated process. CFETs are the evolutionary next step to conventional GAAFETs, and it's predicted to enter mass production only 7-10 years from now. By that time, the industry will begin to feel the pushback from technological barriers preventing development beyond 10 angstrom-class nodes.

NVIDIA CFO Hints at Intel Foundry Services Partnership

NVIDIA CFO Colette Kress, responding to a question in the Q&A session of the recent UBS Global Technology Conference, hinted at the possibility of NVIDIA onboarding a third semiconductor foundry partner besides its current TSMC and Samsung, with the implication being Intel Foundry Services (IFS). "We would love a third one. And that takes a work of what are they interested in terms of the services. Keep in mind, there is other ones that may come to the U.S. TSMC in the U.S. may be an option for us as well. Not necessarily different, but again in terms of the different region. Nothing that stops us from potentially adding another foundry."

NVIDIA currently sources its chips from TSMC and Samsung. It uses the premier Taiwanese fab for its latest "Ada" GPUs and "Hopper" AI processors, while using Samsung for its older generation "Ampere" GPUs. The addition of IFS as a third foundry partner could improve the company's supply-chain resilience in an uncertain geopolitical environment; given that IFS fabs are predominantly based in the US and the EU.

Top 10 Foundries Experience 7.9% QoQ Growth in 3Q23, with a Continued Upward Trend Predicted for Q4

TrendForce's research indicates a dynamic third quarter for the global foundry industry, marked by an uptick in urgent orders for smartphone and notebook components. This surge was fueled by healthy inventory levels and the release of new iPhone and Android devices in 2H23. Despite persisting inflation risks and market uncertainties, these orders were predominantly executed as rush orders. Additionally, TSMC and Samsung's high-cost 3 nm manufacturing process had a positive impact on revenues, driving the 3Q23 value of the top ten global foundries to approximately US$28.29 billion—a 7.9% QoQ increase.

Looking ahead to 4Q23, the anticipation of year-end festive demand is expected to sustain the inflow of urgent orders for smartphones and laptops, particularly for smartphone components. Although the end-user market is yet to fully recover, pre-sales season stockpiling for Chinese Android smartphones appears to be slightly better than expected, with demand for mid-to-low range 5G and 4G phone APs and continued interest in new iPhone models. This scenario suggests a continued upward trend for the top ten global foundries in Q4, potentially exceeding the growth rate seen in Q3.

NAND Flash Industry Revenue Grows 2.9% in 3Q23, Expected to Surge Over 20% in Q4

TrendForce reports a pivotal shift in the NAND Flash market for 3Q23, primarily driven by Samsung's strategic decision to reduce production. Initially, the market was clouded by uncertainty regarding end-user demand and fears of a subdued peak season, prompting buyers to adopt a conservative approach with low inventory and slow procurement. However, as market leaders like Samsung implemented substantial production cuts, buyers' attitudes shifted toward a more aggressive procurement strategy in anticipation of a market supply decrease. This led to a stabilization and even an uptick in NAND Flash contract prices by quarter-end, driving a 3% QoQ increase in bit shipments and culminating in a total revenue of US$9.229 billion, marking an approximate 2.9% increase.

The story unfolds with Kioxia and Micron—the only two to witness a dip in revenue rankings this quarter—while Samsung maintained its robust performance. Despite sluggish demand in the server sector, Samsung's fortunes rebounded thanks to a boost in consumer electronics, especially with high-capacity products in PCs and smartphones. Samsung emerged from a trough in Q3, with strategic inventory replenishments fueling further strategic stocking, and a shift in operational focus toward maximizing profit. This led to a minor 1-3% decrease in shipped bits, but a 1-3% increase in ASP, stabilizing Q3 NAND Flash revenue at US$2.9 billion.

Contract Prices Bottom Out in Q3, Reigniting Buyer Momentum and Boosting DRAM Revenue by Nearly 20%, Notes Report

TrendForce investigations reveal a significant leap in the DRAM industry for 3Q23, with total revenues soaring to US$13.48 billion—marking 18% QoQ growth. This surge is attributed to a gradual resurgence in demand, prompting buyers to re-energize their procurement activities. Looking ahead to Q4, while suppliers are firmly set on price hikes, with DRAM contract prices expected to rise by approximately 13-18%, demand recovery will not be as robust as in previous peak seasons. Overall, while there is demand for stockpiling, procurement for the server sector remains tentative due to high inventory levels, suggesting limited growth in DRAM industry shipments for Q4.

Three major manufacturers witnessed Q3 revenue growth. Samsung's revenue increased by about 15.9% to US$5.25 billion thanks to stable demand for high-capacity products fueled by AI advancements and the rollout of its 1alpha nm DDR5. SK hynix showcased the most notable growth among manufacturers with a 34.4% increase, reaching about US$4.626 billion and significantly narrowing its market share gap with Samsung to less than 5%. Micron's revenue rose by approximately 4.2% to US$3.075 billion—despite a slight drop in ASP—supported by an upswing in demand and shipment volumes.
Return to Keyword Browsing
Jun 2nd, 2024 20:25 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts