• Welcome to TechPowerUp Forums, Guest! Please check out our forum guidelines for info related to our community.

Recent content by PhantomTaco

  1. P

    TSMC Employees Experiencing Problems in Arizona

    All Asian tech companies aren't that bad, there are some that just have a serious reputation for it. Others also do a good job of acclimating to different cultures when setting up shop abroad. One company I know of set up shop a while back in the US, and after working their engineers to extremes...
  2. P

    TSMC Employees Experiencing Problems in Arizona

    That's one way to look at it. The other way is based on how they treat their engineers: They expect them to work absolutely ludicrous hours They give them extreme deadlines/goals, and in turn expect their engineers to get it done at any cost, including changing machine parameters that often end...
  3. P

    TSMC N3 Nodes Show SRAM Scaling is Hitting the Wall

    With all of lithography the process of converting to a "shorter wavelength" means either an optical improvement (lenses/mirrors) or a new light source. At this point, there's not many good candidates for a new light source sub 13.5nm. Like someone else said in the thread, the ASML EXE platform...
  4. P

    Huawei Prepares EUV Scanner for Sub-7 nm Chinese Chips

    14nm and lower? Where do you derive that from? 14nm and lower =/ EUV lithography being used. In fact, plenty of 14-10nm nodes only use immersion lithography (DUV) with stuff like SAQP (Self Aligned Quadruple Patterning). In fact, Intel's commercially available processors today (to my knowledge)...
  5. P

    Huawei Prepares EUV Scanner for Sub-7 nm Chinese Chips

    Some thoughts on the article itself: Fundamentally, at best Huawei has filed a patent for about ONE THIRD of an EUV lithography tool. These tools are normally broken up into 3 core components: Scanner (Where the wafers are and the patterning happens), Source (where the actual EUV light is...
  6. P

    Apple, Intel to Become Alpha Customers for TSMC's 2 nm Manufacturing Node

    Really wish that people would learn this. It has been an extremely long time since nm = nm across node nomenclature, yet still the public rabbles around and parades the marketing names like they mean something.
  7. P

    Intel Ireland Fab 34 Achieves Development Milestone, Facility to Drive Intel 4 Node

    They already have more than 1 fab with 7nm capability
  8. P

    ASML, Makers of Semiconductor Fab Machinery, Reports a Fire Incident

    FWIW ASML manufactures the lithography tools (both DUV and EUV). This fire didn't occur at a fab producing wafers. It doesn't slow down current production at fabs globally in any way whatsoever. It might slow down delivery of new tools to customers like TSMC/Samsung/Intel though. As for the big...
  9. P

    NVIDIA GeForce RTX 3080 Pictured?

    What part of that looks like it's been 3d printed? I see no signs of z-height stepping, and no other obvious surface finish issues. As for the fan design being fake/can't spin: pretty untrue. Fused cage impeller design is not a new thing; look into Noiseblocker's eLoop fans:
  10. P

    Intel Switches Gears to 7nm Post 10nm, First Node Live in 2021

    Problem with that is 193nm excimers you aren't able to actually get to ITRS spec for 7nm. You can't have enough refractory lenses set to do it efficiently and immersion lithography isn't enough to get you to that point properly either last i checked. My whole point was that what they're calling...
  11. P

    Intel Switches Gears to 7nm Post 10nm, First Node Live in 2021

    What TSMC is offering as "7nm" isn't 7nm at all. The fact that they're using DUV is proof of that. DUV is a fancy word for slightly more advanced current lithography methodologies (using the 193nm excimer laser). NOW that they just got their EUV machines from ASML (which use 13.5nm EUV as a...
  12. P

    Intel Courting Samsung to Manufacture Xe GPUs?

    No, he was right, I was referring to TSMC, not Glofo. Sorry: https://www.anandtech.com/show/13445/tsmc-first-7nm-euv-chips-taped-out-5nm-risk-in-q2 "TSMC initiated high-volume manufacturing of chips using its first generation 7 nm fabrication process (CLN7FF, N7) in April. N7 is based around...
  13. P

    Intel Courting Samsung to Manufacture Xe GPUs?

    I wouldn't say that AMD has implemented 7nm GPUs. They're using GloFo's DUV process currently, which still operates on a 193nm source, and further they're only using "7nm" on "non-critical layers". It won't be until GloFo starts using their "6nm" that EUV will be utilized (they just started...
  14. P

    GlobalFoundries Looking for Buyers, Samsung and SK Hynix Seem Interested

    Just a quick typo fix: Mubadala is the name of the Abu Dhabi-based investment firm, not Mubdala
Top