• Welcome to TechPowerUp Forums, Guest! Please check out our forum guidelines for info related to our community.

Intel Research Fuels Moore's Law and Paves the Way to a Trillion Transistors by 2030

TheLostSwede

News Editor
Joined
Nov 11, 2004
Messages
16,189 (2.27/day)
Location
Sweden
System Name Overlord Mk MLI
Processor AMD Ryzen 7 7800X3D
Motherboard Gigabyte X670E Aorus Master
Cooling Noctua NH-D15 SE with offsets
Memory 32GB Team T-Create Expert DDR5 6000 MHz @ CL30-34-34-68
Video Card(s) Gainward GeForce RTX 4080 Phantom GS
Storage 1TB Solidigm P44 Pro, 2 TB Corsair MP600 Pro, 2TB Kingston KC3000
Display(s) Acer XV272K LVbmiipruzx 4K@160Hz
Case Fractal Design Torrent Compact
Audio Device(s) Corsair Virtuoso SE
Power Supply be quiet! Pure Power 12 M 850 W
Mouse Logitech G502 Lightspeed
Keyboard Corsair K70 Max
Software Windows 10 Pro
Benchmark Scores https://valid.x86.fr/yfsd9w
Today, Intel unveiled research breakthroughs fueling its innovation pipeline for keeping Moore's Law on track to a trillion transistors on a package in the next decade. At IEEE International Electron Devices Meeting (IEDM) 2022, Intel researchers showcased advancements in 3D packaging technology with a new 10x improvement in density; novel materials for 2D transistor scaling beyond RibbonFET, including super-thin material just 3 atoms thick; new possibilities in energy efficiency and memory for higher-performing computing; and advancements for quantum computing.

"Seventy-five years since the invention of the transistor, innovation driving Moore's Law continues to address the world's exponentially increasing demand for computing. At IEDM 2022, Intel is showcasing both the forward-thinking and concrete research advancements needed to break through current and future barriers, deliver to this insatiable demand, and keep Moore's Law alive and well for years to come." -Gary Patton, Intel vice president and general manager of Components Research and Design Enablement




What's Happening at IEDM: Commemorating the 75th anniversary of the transistor, Dr. Ann Kelleher, Intel executive vice president and general manager of Technology Development, will lead a plenary session at IEDM. Kelleher will outline the paths forward for continued industry innovation - rallying the ecosystem around a systems-based strategy to address the world's increasing demand for computing and more effectively innovate to advance at a Moore's Law pace. The session, "Celebrating 75 Years of the Transistor! A Look at the Evolution of Moore's Law Innovation," takes place at 9:45 a.m. PST on Monday, Dec. 5.

Why It Matters: Moore's Law is vital to addressing the world's insatiable computing needs as surging data consumption and the drive toward increased artificial intelligence (AI) brings about the greatest acceleration in demand ever.

Continuous innovation is the cornerstone of Moore's Law. Many of the key innovation milestones for continued power, performance and cost improvements over the past two decades - including strained silicon, Hi-K metal gate and FinFET - in personal computers, graphics processors and data centers started with Intel's Components Research Group. Further research, including RibbonFET gate-all-around (GAA) transistors, PowerVia back side power delivery technology and packaging breakthroughs like EMIB and Foveros Direct, are on the roadmap today.

At IEDM 2022, Intel's Components Research Group showed its commitment to innovating across three key areas to continue Moore's Law: new 3D hybrid bonding packaging technology to enable seamless integration of chiplets; super-thin, 2D materials to fit more transistors onto a single chip; and new possibilities in energy efficiency and memory for higher-performing computing.

How We Do It: Components Research Group researchers have identified new materials and processes that blur the line between packaging and silicon. We reveal critical next steps on the journey to extending Moore's Law to a trillion transistors on a package, including advanced packaging that can achieve an additional 10x interconnect density, leading to quasi-monolithic chips. Intel's materials innovations have also identified practical design choices that can meet the requirements of transistor scaling using novel material just 3 atoms thick, enabling the company to continue scaling beyond RibbonFET.

Intel introduces quasi-monolithic chips for next generation 3D packaging:
  • Intel's latest hybrid bonding research presented at IEDM 2022 shows an additional 10 times improvement in density for power and performance over Intel's IEDM 2021 research presentation.
  • Continued hybrid bonding scaling to a 3 um pitch achieves similar interconnect densities and bandwidths as those found on monolithic system-on-chip connections.

Intel looks to super-thin '2D' materials to fit more transistors onto a single chip:
  • Intel demonstrated a gate-all-around stacked nanosheet structure using 2D channel material just 3 atoms thick, while achieving near-ideal switching of transistors on a double-gate structure at room temperature with low leakage current. These are two key breakthroughs needed for stacking GAA transistors and moving beyond the fundamental limits of silicon.
  • Researchers also revealed the first comprehensive analysis of electrical contact topologies to 2D materials that could further pave the way for high-performing and scalable transistor channels.

Intel brings new possibilities in energy efficiency and memory for higher-performing computing:
  • To use chip area more effectively, Intel redefines scaling by developing memory that can be placed vertically above transistors. In an industry first, Intel demonstrates stacked ferroelectric capacitors that match the performance of conventional ferroelectric trench capacitors and can be used to build FeRAM on a logic die.
  • An industry-first device-level model captures mixed phases and defects for improved ferroelectric hafnia devices, marking significant progress for Intel in supporting industry tools to develop novel memories and ferroelectric transistors.
  • Bringing the world one step closer to transitioning beyond 5G and solving the challenges of power efficiency, Intel is building a viable path to 300 millimeter GaN-on-silicon wafers. Intel breakthroughs in this area demonstrate a 20 times gain over industry standard GaN and sets an industry record figure-of-merit for high performance power delivery.
  • Intel is making breakthroughs on super-energy-efficient technologies, specifically transistors that don't forget, retaining data even when the power is off. Already, Intel researchers have broken two of three barriers keeping the technology from being fully viable and operational at room temperature.

Intel continues to introduce new concepts in physics with breakthroughs in delivering better qubits for quantum computing:
Intel researchers work to find better ways to store quantum information by gathering a better understanding of various interface defects that could act as environmental disturbances affecting quantum data.

View at TechPowerUp Main Site | Source
 
Joined
Nov 11, 2020
Messages
425 (0.33/day)
Location
Earth, Solar System
Processor AMD Ryzen 7 5700X
Motherboard Asus TUF Gaming B550M-Plus (Wi-Fi)
Cooling Thermalright PA120 SE; Arctic P12, F12
Memory Crucial BL8G32C16U4W.M8FE1 ×2
Video Card(s) Sapphire Nitro+ RX 6600 XT
Storage Kingston SKC3000D/2048G; Samsung MZVLB1T0HBLR-000L2; Seagate ST1000DM010-2EP102
Display(s) AOC 24G2W1G4
Case Sama MiCube
Audio Device(s) Somic G923
Power Supply EVGA 650 GD
Mouse Logitech G102
Keyboard Logitech K845 TTC Brown
Software Windows 10 Pro 1903, Dism++, CCleaner
Benchmark Scores CPU-Z 17.01.64: 3700X @ 4.6 GHz 1.3375 V scoring 557/6206; 760K @ 5 GHz 1.5 V scoring 292/964
This again proves that Huang's claim the law is dead is just an excuse to raise the price of their cards.
 
Joined
Sep 15, 2013
Messages
47 (0.01/day)
Processor i5-4670k @ 4.2 GHz
Motherboard ASUS Z87 Pro
Cooling Corsair H105
Memory G.SKILL RipjawsX 16GB @ 2133 MHz
Video Card(s) Gigabyte GTX 780 GHz Edition
Storage Samsung 840 Evo 500GB
Case Thermaltake MK-1
Power Supply Seasonic X 750w
Mouse Razer DeathAdder
But Moore's Law isn't dead?
 
Joined
Jan 3, 2021
Messages
2,764 (2.24/day)
Location
Slovenia
Processor i5-6600K
Motherboard Asus Z170A
Cooling some cheap Cooler Master Hyper 103 or similar
Memory 16GB DDR4-2400
Video Card(s) IGP
Storage Samsung 850 EVO 250GB
Display(s) 2x Oldell 24" 1920x1200
Case Bitfenix Nova white windowless non-mesh
Audio Device(s) E-mu 1212m PCI
Power Supply Seasonic G-360
Mouse Logitech Marble trackball, never had a mouse
Keyboard Key Tronic KT2000, no Win key because 1994
Software Oldwin
Have a look, Intel. No costly interposes, no hidden bridges, no funny stacks. Just some innovation (and SERIOUS cooling and power supply).

1670152652289.png
 
Joined
Dec 26, 2009
Messages
216 (0.04/day)
But Moore's Law isn't dead?

Technology moves, so fast... they come up with something, they come up with something else. You see, there's no law to this except one pulling the strings...

 
Joined
Dec 12, 2016
Messages
1,298 (0.48/day)
Intel spent billions on R&D just to have massive CPU (SPR), GPU (Xe) and manufacturing (10 nm) delays. Big projects such as Larrabee, Itanium, Optane and Xeon Phi were total failures and cancelled. Their MobileEye division is going nowhere and they want to spin it off. Their 5G modem unit was sold to Apple because no one else wanted it.

Intel, you can take your R&D press release and shove it up your corporate a$$.
 
Last edited:
Joined
Dec 1, 2020
Messages
363 (0.29/day)
Processor Ryzen 5 7600X
Motherboard ASRock B650M PG Riptide
Cooling Noctua NH-D15
Memory DDR5 6000Mhz CL28 32GB
Video Card(s) Nvidia Geforce RTX 3070 Palit GamingPro OC
Storage Corsair MP600 Force Series Gen.4 1TB
So Intel claims that they will create 10x densier package than their 50-chip glued ponte vecchio by 2030? Hello Intel, you know that your 10nm decade later after your 14nm cannot be used for more than desktop CPUs, no server CPUs, no server GPUs or even HEDT? The same 10nm that is only 3 times densier than your 14nm. Another rapid delay on the way.
That 70S Show Lol GIF by PeacockTV
 
Last edited:

ARF

Joined
Jan 28, 2020
Messages
4,042 (2.57/day)
Location
Ex-usa
This again proves that Huang's claim the law is dead is just an excuse to raise the price of their cards.

Huang is right. Intel lies and has false, dream expectations. Once the reality strikes, Intel will have to accept the reality.
Intel is having serious problems with its production nodes and such roadmaps are fake even today.

But Moore's Law isn't dead?

It is dead and buried long time ago. Intel 10 nm is a proof.
 
Joined
May 17, 2021
Messages
3,005 (2.74/day)
Processor Ryzen 5 5700x
Motherboard B550 Elite
Cooling Thermalright Perless Assassin 120 SE
Memory 32GB Fury Beast DDR4 3200Mhz
Video Card(s) Gigabyte 3060 ti gaming oc pro
Storage Samsung 970 Evo 1TB, WD SN850x 1TB, plus some random HDDs
Display(s) LG 27gp850 1440p 165Hz 27''
Case Lian Li Lancool II performance
Power Supply MSI 750w
Mouse G502
intel claims (as deadlines) at this point mean very little
 
Joined
Dec 26, 2009
Messages
216 (0.04/day)
Huang is right. Intel lies and has false, dream expectations. Once the reality strikes, Intel will have to accept the reality.
Intel is having serious problems with its production nodes and such roadmaps are fake even today.



It is dead and buried long time ago. Intel 10 nm is a proof.

Nope, both you and your savior of leather is wrong. Not even leather done by hand, full on CNC built cheap, glorified crap jacket. Just like his overpriced wasteland of silicone products.

Why would anybody think there's ever a rule to technology's advancement rate, that is beyond me. Without dialing in to religion, you can with logic tell that technology doesn't have a set rate of exponential growth.

The 5600g in my mini PC is a crapmetric ton times faster than my mobile 11th gen, while getting better TDP to IPC though. I'll agree on that.
 

ARF

Joined
Jan 28, 2020
Messages
4,042 (2.57/day)
Location
Ex-usa
Nope, both you and your savior of leather is wrong. Not even leather done by hand, full on CNC built cheap, glorified crap jacket. Just like his overpriced wasteland of silicone products.

Why would anybody think there's ever a rule to technology's advancement rate, that is beyond me. Without dialing in to religion, you can with logic tell that technology doesn't have a set rate of exponential growth.

The 5600g in my mini PC is a crapmetric ton times faster than my mobile 11th gen, while getting better TDP to IPC though. I'll agree on that.

What exactly is your argument? :D

Look at Intel's manufacturing nodes cadence and you will see that Intel is screwed.

Intel 90 nm - 2004
Intel 65 nm - 2006
Intel 45 nm - 2008
Intel 32 nm - 2010
Intel 22 nm - 2012
Intel 14 nm - 2014
Intel 10 nm rebadged to Intel 7 - 2019
Intel 7 nm rebadged to Intel 4 - don't know when...
 
Joined
Dec 26, 2009
Messages
216 (0.04/day)
What exactly is your argument? :D

Look at Intel's manufacturing nodes cadence and you will see that Intel is screwed.

Intel 90 nm - 2004
Intel 65 nm - 2006
Intel 45 nm - 2008
Intel 32 nm - 2010
Intel 22 nm - 2012
Intel 14 nm - 2014
Intel 10 nm rebadged to Intel 7 - 2019
Intel 7 nm rebadged to Intel 4 - don't know when...

I'm actually agreeing on the Intel part, I realize my mini PC's AMD CPU uses a node that is much smaller than what my Intel notebook uses, basically. Both of the PCs use notebook components. It happens to be whole lot more efficient per watt.

Regardless, there is no law to technological advancement rates. That was the point I was trying to make.

This so called "law" has been broken and re-set various times already in past. It only seems to fill a slow news day.
 
Joined
Jan 8, 2017
Messages
9,063 (3.37/day)
System Name Good enough
Processor AMD Ryzen R9 7900 - Alphacool Eisblock XPX Aurora Edge
Motherboard ASRock B650 Pro RS
Cooling 2x 360mm NexXxoS ST30 X-Flow, 1x 360mm NexXxoS ST30, 1x 240mm NexXxoS ST30
Memory 32GB - FURY Beast RGB 5600 Mhz
Video Card(s) Sapphire RX 7900 XT - Alphacool Eisblock Aurora
Storage 1x Kingston KC3000 1TB 1x Kingston A2000 1TB, 1x Samsung 850 EVO 250GB , 1x Samsung 860 EVO 500GB
Display(s) LG UltraGear 32GN650-B + 4K Samsung TV
Case Phanteks NV7
Power Supply GPS-750C
This is so misleading and superfluous, we're already getting pretty close the atomic level in terms of feature size in a chip, there is no smaller than that. So no, density wise there are not going to be any significant improvements going further, that's an immutable fact. Sure, you can try and pull all sorts of tricks with staking multiple and chips and whatnot but that can only take you so far. I still expect the semiconductor industry to hit a brick wall in the next decade, there's just no going around these fundamental limits.
 
Joined
Dec 12, 2016
Messages
1,298 (0.48/day)
I'm actually agreeing on the Intel part, I realize my mini PC's AMD CPU uses a node that is much smaller than what my Intel notebook uses, basically. Both of the PCs use notebook components. It happens to be whole lot more efficient per watt.

Regardless, there is no law to technological advancement rates. That was the point I was trying to make.

This so called "law" has been broken and re-set various times already in past. It only seems to fill a slow news day.
Moore’s law should have never been covered by the media except for a copy and paste Intel PR article when it was first regurgitated. It is nothing but an internal marketing plan that tech sites slurped up hook, line and sinker because it provided the media an easy sound bite to fill their pages.

In other words, I agree with you. Broken, reset and lied about in the media and by Intel many, many times. I can’t wait for the day when tech sites have the courage to stop reporting on this idiot ‘law’ and what was essentially a product roadmap from 1980s from one company that was cancelled almost as soon as it was released.
 
Joined
Jul 15, 2020
Messages
981 (0.70/day)
System Name Dirt Sheep | Silent Sheep
Processor i5-2400 | 13900K (-0.025mV offset)
Motherboard Asus P8H67-M LE | Gigabyte AERO Z690-G, bios F26 with "Instant 6 GHz" on
Cooling Scythe Katana Type 1 | Noctua NH-U12A chromax.black
Memory G-skill 2*8GB DDR3 | Corsair Vengeance 4*32GB DDR5 5200Mhz C40 @4000MHz
Video Card(s) Gigabyte 970GTX Mini | NV 1080TI FE (cap at 85%, 800mV)
Storage 2*SN850 1TB, 230S 4TB, 840EVO 128GB, WD green 2TB HDD, IronWolf 6TB, 2*HC550 18TB in RAID1
Display(s) LG 21` FHD W2261VP | Lenovo 27` 4K Qreator 27
Case Thermaltake V3 Black|Define 7 Solid, stock 3*14 fans+ 2*12 front&buttom+ out 1*8 (on expansion slot)
Audio Device(s) Beyerdynamic DT 990 (or the screen speakers when I'm too lazy)
Power Supply Enermax Pro82+ 525W | Corsair RM650x (2021)
Mouse Logitech Master 3
Keyboard Roccat Isku FX
VR HMD Nop.
Software WIN 10 | WIN 11
Benchmark Scores CB23 SC: i5-2400=641 | i9-13900k=2325-2281 MC: i5-2400=i9 13900k SC | i9-13900k=37240-35500
Now let's talk about the cost of this research and how much it will cost to us :)
 
Joined
Jun 6, 2022
Messages
622 (0.87/day)
Big upset, big. Are you afraid for AMD or what?
I don't know what will happen in the future, but it must be Taliban AMD to deny Intel's contribution in the progress so far. Current superpower processors are accessible to the general public and with their contribution. Their main contribution, I would say.
 

ARF

Joined
Jan 28, 2020
Messages
4,042 (2.57/day)
Location
Ex-usa
1 T transistors when nvidia has just launched the N4 AD102 with only 0.0763 T transistors.
I call Intel's target physically and realistically impossible to happen by 2030.

Unless, Intel counts multi-CPU n-sockets as "1 T transistors".

Big upset, big. Are you afraid for AMD or what?
I don't know what will happen in the future, but it must be Taliban AMD to deny Intel's contribution in the progress so far. Current superpower processors are accessible to the general public and with their contribution. Their main contribution, I would say.

The problem is that IBM wanted competition, and Intel did nothing but sabotaging IBM and enforcing monopoly.
 
Joined
Dec 26, 2006
Messages
3,565 (0.56/day)
Location
Northern Ontario Canada
Processor Ryzen 5700x
Motherboard Gigabyte X570S Aero G R1.1 BiosF5g
Cooling Noctua NH-C12P SE14 w/ NF-A15 HS-PWM Fan 1500rpm
Memory Micron DDR4-3200 2x32GB D.S. D.R. (CT2K32G4DFD832A)
Video Card(s) AMD RX 6800 - Asus Tuf
Storage Kingston KC3000 1TB & 2TB & 4TB Corsair LPX
Display(s) LG 27UL550-W (27" 4k)
Case Be Quiet Pure Base 600 (no window)
Audio Device(s) Realtek ALC1220-VB
Power Supply SuperFlower Leadex V Gold Pro 850W ATX Ver2.52
Mouse Mionix Naos Pro
Keyboard Corsair Strafe with browns
Software W10 22H2 Pro x64
Joined
Sep 28, 2005
Messages
3,164 (0.46/day)
Location
Canada
System Name PCGR
Processor 12400f
Motherboard Asus ROG STRIX B660-I
Cooling Stock Intel Cooler
Memory 2x16GB DDR5 5600 Corsair
Video Card(s) Dell RTX 3080
Storage 1x 512GB Mmoment PCIe 3 NVME 1x 2TB Corsair S70
Display(s) LG 32" 1440p
Case Phanteks Evolve itx
Audio Device(s) Onboard
Power Supply 750W Cooler Master sfx
Software Windows 11
Joined
Sep 17, 2014
Messages
21,080 (5.97/day)
Location
The Washing Machine
Processor i7 8700k 4.6Ghz @ 1.24V
Motherboard AsRock Fatal1ty K6 Z370
Cooling beQuiet! Dark Rock Pro 3
Memory 16GB Corsair Vengeance LPX 3200/C16
Video Card(s) ASRock RX7900XT Phantom Gaming
Storage Samsung 850 EVO 1TB + Samsung 830 256GB + Crucial BX100 250GB + Toshiba 1TB HDD
Display(s) Gigabyte G34QWC (3440x1440)
Case Fractal Design Define R5
Audio Device(s) Harman Kardon AVR137 + 2.1
Power Supply EVGA Supernova G2 750W
Mouse XTRFY M42
Keyboard Lenovo Thinkpad Trackpoint II
Software W10 x64
Bla bla bla but all we have today is a fabulous Intel node being raped a new asshole for some imaginary performance battle over a top end nobody really needs. Their whole E core approach is a major token of stagnation, not progress. They still build monolithic crap like they've done since forever.

Intel 'the innovator' peddling 13+ generations of 'Core' even way past expiry date, and unable to make a solid GPU to save their lives even with massive delays.

Yeah, Pat, you keep smoking those old socks you wear. You've been betting on a horse that is way past racing. Innovation happened despite Intel.
 
Joined
Nov 11, 2020
Messages
425 (0.33/day)
Location
Earth, Solar System
Processor AMD Ryzen 7 5700X
Motherboard Asus TUF Gaming B550M-Plus (Wi-Fi)
Cooling Thermalright PA120 SE; Arctic P12, F12
Memory Crucial BL8G32C16U4W.M8FE1 ×2
Video Card(s) Sapphire Nitro+ RX 6600 XT
Storage Kingston SKC3000D/2048G; Samsung MZVLB1T0HBLR-000L2; Seagate ST1000DM010-2EP102
Display(s) AOC 24G2W1G4
Case Sama MiCube
Audio Device(s) Somic G923
Power Supply EVGA 650 GD
Mouse Logitech G102
Keyboard Logitech K845 TTC Brown
Software Windows 10 Pro 1903, Dism++, CCleaner
Benchmark Scores CPU-Z 17.01.64: 3700X @ 4.6 GHz 1.3375 V scoring 557/6206; 760K @ 5 GHz 1.5 V scoring 292/964
Huang is right. Intel lies and has false, dream expectations. Once the reality strikes, Intel will have to accept the reality.
Actually I don't really care about whether the law is dead or not. What I do see is that Huang is doing his best messing up the market and annoying the consumer.
I would stand against Nvidia forever just for all his doing in the past two years as well as the past twenty years. A businessman does his business, yes that's right. But when he tries to look innocent...
 
Last edited:
Joined
Sep 17, 2014
Messages
21,080 (5.97/day)
Location
The Washing Machine
Processor i7 8700k 4.6Ghz @ 1.24V
Motherboard AsRock Fatal1ty K6 Z370
Cooling beQuiet! Dark Rock Pro 3
Memory 16GB Corsair Vengeance LPX 3200/C16
Video Card(s) ASRock RX7900XT Phantom Gaming
Storage Samsung 850 EVO 1TB + Samsung 830 256GB + Crucial BX100 250GB + Toshiba 1TB HDD
Display(s) Gigabyte G34QWC (3440x1440)
Case Fractal Design Define R5
Audio Device(s) Harman Kardon AVR137 + 2.1
Power Supply EVGA Supernova G2 750W
Mouse XTRFY M42
Keyboard Lenovo Thinkpad Trackpoint II
Software W10 x64
What exactly is your argument? :D

Look at Intel's manufacturing nodes cadence and you will see that Intel is screwed.

Intel 90 nm - 2004
Intel 65 nm - 2006
Intel 45 nm - 2008
Intel 32 nm - 2010
Intel 22 nm - 2012
Intel 14 nm - 2014
Intel 10 nm rebadged to Intel 7 - 2019
Intel 7 nm rebadged to Intel 4 - don't know when...
Who cares? Intel is not the semicon industry by any possible measure. There are competitors that did not get stuck at all and have just now moved to EUV patterning. Intel? They're working still on their own EUV sauce, trying to get into it to begin with to feed their next shrink. Intel '7' is still DUV, its laughable.
 
Joined
Oct 18, 2013
Messages
5,557 (1.44/day)
Location
Everywhere all the time all at once
System Name The Little One
Processor i5-11320H @4.4GHZ
Motherboard AZW SEI
Cooling Fan w/heat pipes + side & rear vents
Memory 64GB Crucial DDR4-3200 (2x 32GB)
Video Card(s) Iris XE
Storage WD Black SN850X 4TB m.2, Seagate 2TB SSD + SN850 4TB x2 in an external enclosure
Display(s) 2x Samsung 43" & 2x 32"
Case Practically identical to a mac mini, just purrtier in slate blue, & with 3x usb ports on the front !
Audio Device(s) Yamaha ATS-1060 Bluetooth Soundbar & Subwoofer
Power Supply 65w brick
Mouse Logitech MX Master 2
Keyboard Logitech G613 mechanical wireless
Software Windows 10 pro 64 bit, with all the unnecessary background shitzu turned OFF !
Benchmark Scores PDQ
Silicon, transistors, capacitors & their related ilk are sooooo 1960-ish.....

What we need is no moore duh-huh marketing speak & absurd, no-longer-relevant laws of the past 50+ years, and get some REAL forward-thinking people involved & come up with the next "big (small) thing", like, yesterday :D
 

ARF

Joined
Jan 28, 2020
Messages
4,042 (2.57/day)
Location
Ex-usa
Silicon, transistors, capacitors & their related ilk are sooooo 1960-ish.....

What we need is no moore duh-huh marketing speak & absurd, no-longer-relevant laws of the past 50+ years, and get some REAL forward-thinking people involved & come up with the next "big (small) thing", like, yesterday :D

I think we need software revolution, not hardware evolution.
We need something like unlimited detail technology activated for graphics.
 
Top