• Welcome to TechPowerUp Forums, Guest! Please check out our forum guidelines for info related to our community.

GLOBALFOUNDRIES Launches Industry's First 22nm FD-SOI Technology Platform

btarunr

Editor & Senior Moderator
Staff member
Joined
Oct 9, 2007
Messages
46,277 (7.69/day)
Location
Hyderabad, India
System Name RBMK-1000
Processor AMD Ryzen 7 5700G
Motherboard ASUS ROG Strix B450-E Gaming
Cooling DeepCool Gammax L240 V2
Memory 2x 8GB G.Skill Sniper X
Video Card(s) Palit GeForce RTX 2080 SUPER GameRock
Storage Western Digital Black NVMe 512GB
Display(s) BenQ 1440p 60 Hz 27-inch
Case Corsair Carbide 100R
Audio Device(s) ASUS SupremeFX S1220A
Power Supply Cooler Master MWE Gold 650W
Mouse ASUS ROG Strix Impact
Keyboard Gamdias Hermes E2
Software Windows 11 Pro
GLOBALFOUNDRIES today launched a new semiconductor technology developed specifically to meet the ultra-low-power requirements of the next generation of connected devices. The "22FDX" platform delivers FinFET-like performance and energy-efficiency at a cost comparable to 28 nm planar technologies, providing an optimal solution for the rapidly evolving mainstream mobile, Internet-of-Things (IoT), RF connectivity and networking markets.

While some applications require the ultimate performance of three-dimensional FinFET transistors, most wireless devices need a better balance of performance, power consumption and cost. 22FDX provides the best path for cost-sensitive applications by leveraging the industry's first 22nm two-dimensional, fully-depleted silicon-on-insulator (FD-SOI) technology. It offers industry's lowest operating voltage at 0.4 volt, enabling ultra-low dynamic power consumption, less thermal impact, and smaller end-product form-factors. The 22FDX platform delivers a 20 percent smaller die size and 10 percent fewer masks than 28nm, as well as nearly 50 percent fewer immersion lithography layers than foundry FinFET.

"The 22FDX platform enables our customers to deliver differentiated products with the best balance of power, performance and cost," said Sanjay Jha, chief executive officer of GLOBALFOUNDRIES. "In an industry first, 22FDX provides real-time system software control of transistor characteristics: the system designer can dynamically balance power, performance, and leakage. Additionally, for RF and analog integration, the platform delivers best scaling combined with highest energy efficiency."

22FDX leverages the high-volume 28nm platform in GLOBALFOUNDRIES' state-of-the-art 300mm production line in Dresden, Germany. This technology heralds a new chapter in the "Silicon Saxony" story, building on almost 20 years of sustained investment in Europe's largest semiconductor fab. GLOBALFOUNDRIES launches its FDX platform in Dresden by investing $250 million for technology development and initial 22FDX capacity. This brings the company's total investment in Fab 1 to more than $5 billion since 2009. The company plans to make further investments to support additional customer demand. GLOBALFOUNDRIES is partnering with R&D and industry leaders to grow a robust ecosystem and to enable faster time-to-market as well as a comprehensive roadmap for its 22FDX offering.

GLOBALFOUNDRIES' 22FDX platform enables software-control of transistor characteristics to achieve real time tradeoff between static power, dynamic power and performance. This platform consists of a family of differentiated products architected to support the needs of various applications:
  • 22FD-ulp: For the mainstream and low-cost smartphone market, the base ultra-low power offering provides an alternative to FinFET. Through the use of body-biasing, 22FD-ulp delivers greater than 70 percent power reduction compared to 0.9 volt 28nm HKMG, as well as performance equivalent to FinFET. For certain IoT and consumer applications, the platform can operate at 0.4 volt, delivering up to 90 percent power reduction compared to 28nm HKMG.
  • 22FD-uhp: For networking applications with analog integration, this offering is optimized to achieve the same ultra-high performance capabilities of FinFET while minimizing energy consumption. 22FD-uhp customizations include forward body-bias, application optimized metal stacks, and support for 0.95 volt overdrive.
  • 22FD-ull: The ultra-low leakage offering for wearables and IoT delivers the same capabilities of 22FD-ulp, while reducing leakage to as low as 1pa/um. This combination of low active power, ultra-low leakage, and flexible body-biasing can enable a new class of battery-operated wearable devices with an order of magnitude power reduction.
  • 22FD-rfa: The radio frequency analog offering delivers 50 percent lower power at reduced system cost to meet the stringent requirements of high-volume RF applications such as LTE-A cellular transceivers, high order MIMO WiFi combo chips, and millimeter wave radar. The RF active device back-gate feature can reduce or eliminate complex compensation circuits in the primary RF signal path, allowing RF designers to extract more of the intrinsic device Ft performance.
GLOBALFOUNDRIES has been working closely with key customers and ecosystem partners to enable optimized design methodology and a full suite of foundational and complex IP. Design starter kits and early versions of process design kits (PDKs) are available now with risk production starting in the second half of 2016.

"GLOBALFOUNDRIES' FDX platform, using an advanced FD-SOI transistor architecture developed through our long-standing research partnership, confirms and strengthens the momentum of this technology by expanding the ecosystem and assuring a source of high-volume supply," said Jean-Marc Chery, chief operating officer of STMicroelectronics. "FD-SOI is an ideal process technology to meet the unique always-on, low-power requirements of IoT and other power-sensitive devices worldwide."

"Freescale's next-generation i.MX series of applications processors is leveraging the benefits of FD-SOI to achieve industry leading ultra-low power performance-on-demand solutions for automotive, industrial and consumer applications," said Ron Martino, vice president of applications processors and advanced technology adoption for Freescale's MCU group. "GLOBALFOUNDRIES' 22FDX platform is a great addition to the industry which provides a high volume manufacturing extension of FD-SOI beyond 28nm by continuing to scale down for cost and extend capability for power-performance optimization."

"The connected world of mobile and IoT devices depend on SoCs that are optimized for performance, power and cost," said Will Abbey, general manager, physical design group, ARM. "We are collaborating closely with GLOBALFOUNDRIES to deliver the IP ecosystem needed for customers to benefit from the unique value of 22FDX technology."

"VeriSilicon has experience designing IoT SoCs in FD-SOI technology and we have demonstrated the benefits of FD-SOI in addressing ultra-low power and low energy applications," said Wayne Dai, president and CEO of VeriSilicon Holdings Co. Ltd. "We look forward to collaborating with GLOBALFOUNDRIES on their 22FDX offering to deliver power, performance and cost optimized designs for smart phones, smart homes, and smart cars especially for the China market."

"Next-generation connected devices, in markets from wearables and IoT to mobile and consumer, require semiconductor solutions that provide an optimal balance of performance, power and cost," said Tony King-Smith, EVP Marketing, Imagination Technologies. "The combination of GLOBALFOUNDRIES' new 22FDX technology with Imagination's broad portfolio of advanced IP - including PowerVR multimedia, MIPS CPUs and Ensigma communications - will enable more innovation by our mutual customers as they bring differentiated new products to the market."

"FD-SOI technology can provide a multi-node, low-cost roadmap for wearable, consumer, multimedia, automotive, and other applications," said Handel Jones, founder and CEO, IBS, Inc. "GLOBALFOUNDRIES' 22FDX offering brings together the best in low-power FD-SOI technology in a low-cost platform that is expected to experience very strong demand."

"FD-SOI can deliver significant improvements in performance and power savings, while minimizing adjustments to existing design-and-manufacturing methodologies," said CEA-Leti CEO Marie-Noëlle Semeria. "Together, we can collectively deliver proven, well-understood design-and-manufacturing techniques for the successful production of GLOBALFOUNDRIES' 22FDX for connected technologies."

"GLOBALFOUNDRIES' announcement is a key milestone for enabling the next generation of low-power electronics," said Paul Boudre, CEO of Soitec. "We are pleased to be GLOBALFOUNDRIES' strategic partner. Our ultra-thin SOI substrate is ready for high-volume manufacturing of 22FDX technology."

View at TechPowerUp Main Site
 
Joined
Nov 4, 2005
Messages
11,655 (1.73/day)
System Name Compy 386
Processor 7800X3D
Motherboard Asus
Cooling Air for now.....
Memory 64 GB DDR5 6400Mhz
Video Card(s) 7900XTX 310 Merc
Storage Samsung 990 2TB, 2 SP 2TB SSDs and over 10TB spinning
Display(s) 56" Samsung 4K HDR
Audio Device(s) ATI HDMI
Mouse Logitech MX518
Keyboard Razer
Software A lot.
Benchmark Scores Its fast. Enough.
Joined
Sep 19, 2010
Messages
64 (0.01/day)
Location
U.A.E Dubai
Processor I9 13900k
Motherboard Asus z690 hero
Cooling Next Kraken X72
Memory 32GB gskill 6000
Video Card(s) xfx 7900xtx black
Storage Intel 900P 480GB/ sabrent rocket 4 plus 4tb
Display(s) Asus PA32DC
Case ASUS TUF GT502
Audio Device(s) Sony TA-ZH1ES & Sony Z1R
Power Supply Corsair 1500i
22nm i thought that they or their parent company bought IBM factory and licenses. and IBM announced that they are doing 7nm
 

FordGT90Concept

"I go fast!1!11!1!"
Joined
Oct 13, 2008
Messages
26,259 (4.65/day)
Location
IA, USA
System Name BY-2021
Processor AMD Ryzen 7 5800X (65w eco profile)
Motherboard MSI B550 Gaming Plus
Cooling Scythe Mugen (rev 5)
Memory 2 x Kingston HyperX DDR4-3200 32 GiB
Video Card(s) AMD Radeon RX 7900 XT
Storage Samsung 980 Pro, Seagate Exos X20 TB 7200 RPM
Display(s) Nixeus NX-EDG274K (3840x2160@144 DP) + Samsung SyncMaster 906BW (1440x900@60 HDMI-DVI)
Case Coolermaster HAF 932 w/ USB 3.0 5.25" bay + USB 3.2 (A+C) 3.5" bay
Audio Device(s) Realtek ALC1150, Micca OriGen+
Power Supply Enermax Platimax 850w
Mouse Nixeus REVEL-X
Keyboard Tesoro Excalibur
Software Windows 10 Home 64-bit
Benchmark Scores Faster than the tortoise; slower than the hare.
IBM does experiments; not mass production.
 

Frick

Fishfaced Nincompoop
Joined
Feb 27, 2006
Messages
18,914 (2.86/day)
Location
Piteå
System Name Black MC in Tokyo
Processor Ryzen 5 5600
Motherboard Asrock B450M-HDV
Cooling Be Quiet! Pure Rock 2
Memory 2 x 16GB Kingston Fury 3400mhz
Video Card(s) XFX 6950XT Speedster MERC 319
Storage Kingston A400 240GB | WD Black SN750 2TB |WD Blue 1TB x 2 | Toshiba P300 2TB | Seagate Expansion 8TB
Display(s) Samsung U32J590U 4K + BenQ GL2450HT 1080p
Case Fractal Design Define R4
Audio Device(s) Line6 UX1 + some headphones, Nektar SE61 keyboard
Power Supply Corsair RM850x v3
Mouse Logitech G602
Keyboard Cherry MX Board 1.0 TKL Brown
VR HMD Acer Mixed Reality Headset
Software Windows 10 Pro
Benchmark Scores Rimworld 4K ready!
22nm i thought that they or their parent company bought IBM factory and licenses. and IBM announced that they are doing 7nm

As said not everything has to be as small as possible, and there are chips and chips.
 

FordGT90Concept

"I go fast!1!11!1!"
Joined
Oct 13, 2008
Messages
26,259 (4.65/day)
Location
IA, USA
System Name BY-2021
Processor AMD Ryzen 7 5800X (65w eco profile)
Motherboard MSI B550 Gaming Plus
Cooling Scythe Mugen (rev 5)
Memory 2 x Kingston HyperX DDR4-3200 32 GiB
Video Card(s) AMD Radeon RX 7900 XT
Storage Samsung 980 Pro, Seagate Exos X20 TB 7200 RPM
Display(s) Nixeus NX-EDG274K (3840x2160@144 DP) + Samsung SyncMaster 906BW (1440x900@60 HDMI-DVI)
Case Coolermaster HAF 932 w/ USB 3.0 5.25" bay + USB 3.2 (A+C) 3.5" bay
Audio Device(s) Realtek ALC1150, Micca OriGen+
Power Supply Enermax Platimax 850w
Mouse Nixeus REVEL-X
Keyboard Tesoro Excalibur
Software Windows 10 Home 64-bit
Benchmark Scores Faster than the tortoise; slower than the hare.
The higher the wattage the more it will benefit from a smaller process.
 
Joined
Sep 7, 2011
Messages
2,785 (0.61/day)
Location
New Zealand
System Name MoneySink
Processor 2600K @ 4.8
Motherboard P8Z77-V
Cooling AC NexXxos XT45 360, RayStorm, D5T+XSPC tank, Tygon R-3603, Bitspower
Memory 16GB Crucial Ballistix DDR3-1600C8
Video Card(s) GTX 780 SLI (EVGA SC ACX + Giga GHz Ed.)
Storage Kingston HyperX SSD (128) OS, WD RE4 (1TB), RE2 (1TB), Cav. Black (2 x 500GB), Red (4TB)
Display(s) Achieva Shimian QH270-IPSMS (2560x1440) S-IPS
Case NZXT Switch 810
Audio Device(s) onboard Realtek yawn edition
Power Supply Seasonic X-1050
Software Win8.1 Pro
Benchmark Scores 3.5 litres of Pale Ale in 18 minutes.
22nm i thought that they or their parent company bought IBM factory and licenses. and IBM announced that they are doing 7nm
FD-SOI originated with IBM, although ST-Micro put the manpower into getting it up and running. This 22FDX is simply GloFo's licence manufacture of ST-Micro's process (in much the same way that GloFo's 14nm is licenced from Samsung).
As with anything GLOBALFOUNDRIES, take any announcement with a grain of salt. The company has an impressive record of lateness, yield issues, and abandonment of processes ( 14nm-XM cancelled, 20nm LPM cancelled, 20nm SHP cancelled, 20nm FD (licenced ST-Micro 14nm FD-SOI) -??)
 
Top