News Posts matching #Electronics

Return to Keyword Browsing

Altair SimSolid Transforms Simulation for Electronics Industry

Altair, a global leader in computational intelligence, announced the upcoming release of Altair SimSolid for electronics, bringing game-changing fast, easy, and precise multi-physics scenario exploration for electronics, from chips, PCBs, and ICs to full system design. "As the electronics industry pushes the boundaries of complexity and miniaturization, engineers have struggled with simulations that often compromise on detail for expediency. Altair SimSolid will empower engineers to capture the intricate complexities of PCBs and ICs without simplification," said James R. Scapa, founder and chief executive officer, Altair. "Traditional simulation methods often require approximations when analyzing PCB structures due to their complexity. Altair SimSolid eliminates these approximations to run more accurate simulations for complex problems with vast dimensional disparities."

Altair SimSolid has revolutionized conventional analysis in its ability to accurately predict complex structural problems with blazing-fast speed while eliminating the complexity of laborious hours of modeling. It eliminates geometry simplification and meshing, the two most time-consuming and expertise-intensive tasks done in traditional finite element analysis. As a result, it delivers results in seconds to minutes—up to 25x faster than traditional finite element solvers—and effortlessly handles complex assemblies. Having experienced fast adoption in the aerospace and automotive industries, two sectors that typically experience challenges associated with massive structures, Altair SimSolid is poised to play a significant role in the electronics market. The initial release, expected in Q2 2024, will support structural and thermal analysis for PCBs and ICs with full electromagnetics analysis coming in a future release.

Samsung Foundry Renames 3 nm Process to 2 nm Amid Competition with Intel

In a move that could intensify competition with Intel in the cutting-edge chip manufacturing space, Samsung Foundry has reportedly decided to rebrand its second-generation 3 nm-class fabrication technology, previously known as SF3, to a 2 nm-class manufacturing process called SF2. According to reports from ZDNet, the renaming of Samsung's SF3 to SF2 is likely an attempt by the South Korean tech giant to simplify its process nomenclature and better compete against Intel Foundry, at least visually. Intel is set to roll out its Intel 20A production node, a 2 nm-class technology, later this year. The reports suggest that Samsung has already notified its customers about the changes in its roadmap and the renaming of SF3 to SF2. Significantly, the company has reportedly gone as far as re-signing contracts with customers initially intended to use the SF3 production node.

"We were informed by Samsung Electronics that the 2nd generation 3 nm [name] is being changed to 2 nm," an unnamed source noted to ZDNet. "We had contracted Samsung Foundry for the 2nd generation 3 nm production last year, but we recently revised the contract to change the name to 2 nm." Despite the name change, Samsung's SF3, now called SF2, has not undergone any actual process technology alterations. This suggests that the renaming is primarily a marketing move, as using a different process technology would require customers to rework their chip designs entirely. Samsung intends to start manufacturing chips based on the newly named SF2 process in the second half of 2024. The SF2 technology, which employs gate-all-around (GAA) transistors that Samsung brands as Multi-Bridge-Channel Field Effect Transistors (MBCFET), does not feature a backside power delivery network (BSPDN), a significant advantage of Intel's 20A process. Samsung Foundry has not officially confirmed the renaming.

LG and Meta Forge Collaboration to Accelerate XR Business

LG Electronics (LG) is ramping up its strategic collaboration with the global tech powerhouse, Meta Platforms, Inc. (Meta), aiming to expedite its extended reality (XR) ventures. The aim is to combine the strengths of both companies across products, content, services and platforms to drive innovation in customer experiences within the burgeoning virtual space.

Forging an XR Collaboration With Meta
On February 28, LG's top management, including CEO William Cho and Park Hyoung-sei, president of the Home Entertainment Company, met with Meta Founder and CEO Mark Zuckerberg at LG Twin Towers in Yeouido, Seoul. This meeting coincided with Zuckerberg's tour of Asia. The two-hour session saw discussions on business strategies and considerations for next-gen XR device development. CEO Cho, while experiencing the Meta Quest 3 headset and Ray-Ban Meta smart glasses, expressed a keen interest in Meta's advanced technology demonstrations, notably focusing on Meta's large language models and its potential for on-device AI integration.

Phison Predicts 2024: Security is Paramount, PCIe 5.0 NAND Flash Infrastructure Imminent as AI Requires More Balanced AI Data Ecosystem

Phison Electronics Corp., a global leader in NAND flash controller and storage solutions, today announced the company's predictions for 2024 trends in NAND flash infrastructure deployment. The company predicts that rapid proliferation of artificial intelligence (AI) technologies will continue apace, with PCIe 5.0-based infrastructure providing high-performance, sustainable support for AI workload consistency as adoption rapidly expands. PCIe 5.0 NAND flash solutions will be at the core of a well-balanced hardware ecosystem, with private AI deployments such as on-premise large language models (LLMs) driving significant growth in both everyday AI and the infrastructure required to support it.

"We are moving past initial excitement over AI toward wider everyday deployment of the technology. In these configurations, high-quality AI output must be achieved by infrastructure designed to be secure, while also being affordable. The organizations that leverage AI to boost productivity will be incredibly successful," said Sebastien Jean, CTO, Phison US. "Building on the widespread proliferation of AI applications, infrastructure providers will be responsible for making certain that AI models do not run up against the limitations of memory - and NAND flash will become central to how we configure data center architectures to support today's developing AI market while laying the foundation for success in our fast-evolving digital future."

Renesas Unveils the First Generation of Own 32-bit RISC-V CPU Core Ahead of Competition

Renesas Electronics Corporation, a premier supplier of advanced semiconductor solutions, announced today that it has designed and tested a 32-bit CPU core based on the open-standard RISC-V instruction set architecture (ISA). Renesas is among the first in the industry to independently develop a CPU core for the 32-bit general-purpose RISC-V market, providing an open and flexible platform for IoT, consumer electronics, healthcare and industrial systems. The new RISC-V CPU core will complement Renesas' existing IP portfolio of 32-bit microcontrollers (MCUs), including the proprietary RX Family and the RA Family based on the Arm Cortex -M architecture.

RISC-V is an open ISA which is quickly gaining popularity in the semiconductor industry, due to its flexibility, scalability, power efficiency and open ecosystem. While many MCU providers have recently created joint investment alliances to accelerate their development of RISC-V products, Renesas has already developed a new RISC-V core on its own. This versatile CPU can serve as a main application controller, a complementary secondary core in SoCs, on-chip subsystems, or even in deeply embedded ASSPs. This positions Renesas as a leader in the emerging RISC-V market, following previous introductions of its 32-bit voice-control and motor-control ASSP devices, as well as the RZ/Five 64-bit general purpose microprocessors (MPUs), which were built on CPU cores developed by Andes Technology Corp.

Samsung Electronics Announces Third Quarter 2023 Results

Samsung Electronics today reported financial results for the third quarter ended September 30, 2023. Total consolidated revenue was KRW 67.40 trillion, a 12% increase from the previous quarter, mainly due to new smartphone releases and higher sales of premium display products. Operating profit rose sequentially to KRW 2.43 trillion based on strong sales of flagship models in mobile and strong demand for displays, as losses at the Device Solutions (DS) Division narrowed.

The Memory Business reduced losses sequentially as sales of high valued-added products and average selling prices somewhat increased. Earnings in system semiconductors were impacted by a delay in demand recovery for major applications, but the Foundry Business posted a new quarterly high for new backlog from design wins. The mobile panel business reported a significant increase in earnings on the back of new flagship model releases by major customers, while the large panel business narrowed losses in the quarter. The Device eXperience (DX) Division achieved solid results due to robust sales of premium smartphones and TVs. Revenue at the Networks Business declined in major overseas markets as mobile operators scaled back investments.

Inflation Impacts Demand for Consumer Electronics, 2022 DRAM Module Makers' Revenues Fall 4.6%

TrendForce reports that consumer appetite for electronic products took a hit from high inflation, with global DRAM module sales in 2022 reaching US$17.3 billion—a 4.6% YoY decline. Revenue performance varied significantly among module makers due to the different domains they supply.

TrendForce's data indicated that the top five memory suppliers in 2022 accounted for 90% of total sales, with the top ten collectively capturing 96% of global market revenue. Kingston maintained its dominant market share of 78%. Even with a slight revenue dip, it held steadfast to its position as the global leader. Despite poor end-market demand, Kingston's robust brand scale, along with its comprehensive product supply chain, limited its revenue decline to a modest 5.3%, keeping it firmly at the top of market share rankings.

Tenstorrent Selects Samsung Foundry to Manufacture Next-Generation AI Chiplet

Tenstorrent, a company that sells AI processors and licenses AI and RISC-V IP, announced today that it selected Samsung Foundry to bring Tenstorrent's next generation of AI chiplets to market. Tenstorrent builds powerful RISC-V CPU and AI acceleration chiplets, aiming to push the boundaries of compute in multiple industries such as data center, automotive and robotics. These chiplets are designed to deliver scalable power from milliwatts to megawatts, catering to a wide range of applications from edge devices to data centers.

To ensure the highest quality and cutting-edge manufacturing capabilities for its chiplet, Tenstorrent has selected Samsung's Foundry Design Service team, known for their expertise in silicon manufacturing. The chiplets will be manufactured using Samsung's state-of-the-art SF4X process, which boasts an impressive 4 nm architecture.

LG Announces Second-Quarter 2023 Financial Results

LG Electronics Inc. (LG) today announced second-quarter 2023 consolidated revenue of KRW 20 trillion with operating profit of KRW 741.9 billion. The company recorded the highest second-quarter revenues in company history. The second-quarter results underscore the success of the company's strategy to drive continuous growth while strengthening its business competitiveness. The record revenue reflects the company's actions to fundamentally improve its business structure by pursuing new platform-based service businesses and continuously expanding the business-to-business (B2B) segments. Profitability also was strong, although operating income was 6.3 percent lower than the second quarter last year, primarily due to a one-time second-quarter 2023 provision.

The company plans to drive further profitability improvements by optimizing efficiencies and by preemptively meeting market demands through enhanced demand forecasting and manufacturing competitiveness. LG also plans to continuously strengthen its online brand store and direct-to-consumer businesses.

Samsung Electronics Announces Second Quarter 2023 Results

Samsung Electronics today reported financial results for the second quarter ended June 30, 2023. The Company posted KRW 60.01 trillion in consolidated revenue, a 6% decline from the previous quarter, mainly due to a decline in smartphone shipments despite a slight recovery in revenue of the DS (Device Solutions) Division. Operating profit rose sequentially to KRW 0.67 trillion as the DS Division posted a narrower loss, while Samsung Display Corporation (SDC) and the Digital Appliances Business saw improved profitability.

The Memory Business saw results improve from the previous quarter as its focus on High Bandwidth Memory (HBM) and DDR5 products in anticipation of robust demand for AI applications led to higher-than-guided DRAM shipments. System semiconductors posted a decline in profit due to lower utilization rates on weak demand from major applications.

Samsung Also Launches the Galaxy Tab S9

Samsung Electronics Co., Ltd. announces its new Galaxy Tab S9 series, a premium product portfolio that redefines the tablet landscape and sets new standards for immersive viewing and creative freedom. Across all three models, Galaxy Tab S9, S9+ and S9 Ultra, Dynamic AMOLED 2X displays ensure epic viewing and entertainment experiences with the power of Qualcomm Snapdragon 8 Gen 2 for Galaxy. An in-box, IP68-rated S Pen helps users bring their ideas to life. And as the first Galaxy Tab S series to earn an IP68 rating, Galaxy Tab S9 series enables users to follow their inspiration, both indoors and out.

"There are no devices like the Galaxy Tab S9 series on the market today. A true disrupter in its category, it is the first of its kind to deliver experiences that users love most about tablets in one complete premium design," said TM Roh, President and Head of Mobile eXperience Business at Samsung Electronics. "The Galaxy Tab S9 series empowers users to take their big ideas and bring them to life, completely effortlessly."

Sony WF-1000XM5 Teased for Launch on July 24

Sony Electronics yesterday tweeted about an upcoming product launch date: "For the silence. For the sound. Stay tuned...you'll want to hear this." The teaser image and brief message could hint at the WF-1000XM5 wireless earbuds finally arriving at retail. The tweet puts emphasis on high quality sound reproduction and noise cancelling capabilities, so the company seems to be confident in marketing their next gen model as a leap over the popular WF-1000XM4.

Renders and specifications for the fifth generation Sony wireless earbuds have been leaked online several times this year. The WF-1000XM5 seems to be smaller and lighter than its predecessor with each bud weighing 5.9 grams, and the (likely Qi wireless charging capable) cradle coming in at 39 grams. Eye-balled dimensions suggest a profile closer to the WF-C700N. Many owners of the WF-1000XM4 have complained about inconsistent and uncomfortable fits in ear canals, due to the unit's relatively substantial design—a more compact bud would be most welcome. Multi-point connectivity seems to be the main feature that will be ready and present out of the box, otherwise leaked specs do not present anything else that really stands out. The WF-1000XM4 launched last Autumn with an MSRP of $280—will Sony be charging more for a mild set of improvements, or are they going to wow us with an amazing next-gen aural experience?

DEEPX Announces State-of-the-Art AI Chip Product Lineup

DEEPX, a leading AI semiconductor technology company, aims to drive innovation in the rapidly evolving edge AI landscape with its state-of-the-art, low-power, high-performance AI chip product lineup. With a focus on revolutionizing application areas such as smart cities, surveillance, smart factories, and other industries, DEEPX unveiled its latest AI semiconductor solutions at the 2023 Samsung Foundry Forum (SFF), under the theme of "For AI Everywhere."

Recognizing the importance of collaboration and technological partnerships, DEEPX leveraged Samsung Electronics' foundry processes, harnessing the power of 5 nm, 14 nm, and 28 nm technologies for its semiconductor chip designs. As a result, the company has developed a suite of four high-performance, energy-efficient AI semiconductor products: DX-L1, DX-L2, DX-M1, and DX-H1. Each product has been specifically engineered to cater to the unique demands of various market segments, from ultra-compact sensors with minimal data processing requirements to AI-intensive applications such as robotics, computer vision, autonomous vehicles, and many others.

LiFi 802.11bb Standard Certified by IEEE - Said to be Quicker than Wi-Fi

The Institute of Electrical and Electronics Engineers (IEEE) has certified 802.11bb as a standard for light-based wireless communications—this development was announced yesterday, and warmly received by numerous Li-Fi businesses operating around the world, including pureLiFi and Fraunhofer HHI. These organizations hope that the (currently niche market) technology will get adopted at a greater rate thanks to the IEEE's approval. Proponents of the standard proclaim that it is "faster, more reliable wireless communications with unparalleled security compared to conventional technologies such as Wi-Fi and 5G (radio frequency networks)."

pureLiFi boasts that their Light Antenna ONE module is on the cusp of being ready for mass production: "This innovative device is the result of groundbreaking work by world-renowned LiFi engineers and marks a significant milestone in the development of LiFi technology. With Light Antenna ONE, millions of people can now be connected through light, opening up a new world of possibilities for high-speed, secure, and reliable communication. The design of this cutting-edge module enables mass integration of LiFi technology for the first time, paving the way for a future where LiFi plays a crucial role in our daily lives." Sample units can be sent to OEMs for evaluation purposes—the baseband agnostic (802.11 and G.hn) EVK consists of a solderable castellated module and motherboard.

Samsung Starts Mass Production of Automotive UFS 3.1 Memory Solution

Samsung Electronics, a world leader in advanced semiconductor technology, today announced that it has initiated mass production of its new automotive Universal Flash Storage (UFS) 3.1 memory solution optimized for in-vehicle infotainment (IVI) systems. The new solution offers the industry's lowest energy consumption, enabling car manufacturers to provide the best mobility experience for consumers.

The UFS 3.1 lineup will come in 128, 256 and 512-gigabyte (GB) variants to meet different needs of customers. The enhanced lineup allows more efficient battery life management to future automotive applications such as electric or autonomous vehicles. The 256 GB model, for instance, has reduced its energy consumption by about 33% compared to the previous generation product. The 256 GB model also provides a sequential write speed of 700-megabytes-per-second (MB/s) and a sequential read speed of 2,000 MB/s.

Ex-Samsung Executive Arrested for Stealing Company Secrets to Build Fabs in China

According to the latest report from Reuters, a former Samsung executive was arrested by the South Korean authorities yesterday, being accused of stealing company secrets to build a similar chip production facility in China. The former executive had worked for SK Hynix before joining Samsung, where he was involved in the Samsung Electronics division responsible for semiconductor factories. According to the report, the person planned to build a competing factory 1.5 km from a Samsung chip manufacturing facility in Xian, China. The suspect, who was not identified publically, has a collective of 28 years of experience with the South Korean chip makers.

Interestingly, the suspect also caused financial harm to the company, which the Suwon District Prosecutors' Office estimates to be around 300 billion won ($233 million). Prosecutors have announced the indictment of six additional individuals suspected of involvement in the case, including an employee of an inspection company who is charged with allegedly disclosing the architectural blueprint of Samsung's semiconductor plant. A police official commented, "We will sternly deal with any leakage of our technology abroad and strongly respond to illegal leak of domestic companies' core technologies in semiconductor, automobile and shipbuilding sectors among other."

India Homegrown HPC Processor Arrives to Power Nation's Exascale Supercomputer

With more countries creating initiatives to develop homegrown processors capable of powering powerful supercomputing facilities, India has just presented its development milestone with Aum HPC. Thanks to information from the report by The Next Platform, we learn that India has developed a processor for powering its exascale high-performance computing (HPC) system. Called Aum HPC, the CPU was developed by the National Supercomputing Mission of the Indian government, which funded the Indian Institute of Science, the Department of Science and Technology, the Ministry of Electronics and Information Technology, and C-DAC to design and manufacture the Aum HPC processors and create strong, strong technology independence.

The Aum HPC is based on Armv8.4 CPU ISA and represents a chiplet processor. Each compute chiplet features 48 Arm Zeus Cores based on Neoverse V1 IP, so with two chiplets, the processor has 96 cores in total. Each core gets 1 MB of level two cache and 1 MB of system cache, for 96 MB L2 cache and 96 MB system cache in total. For memory, the processor uses 16-channel 32-bit DDR5-5200 with a bandwidth of 332.8 GB/s. To expand on that, HBM memory is present, and there is 64 GB of HBM3 with four controllers capable of achieving a bandwidth of 2.87 TB/s. As far as connectivity, the Aum HPC processor has 64 PCIe Gen 5 Lanes with CXL enabled. It is manufactured on a 5 nm node from TSMC. With a 3.0 GHz typical and 3.5+ GHz turbo frequency, the Aum HPC processor is rated for a TDP of 300 Watts. It is capable of producing 4.6+ TeraFLOPS per socket. Below are illustrations and tables comparing Aum HPC to Fujitsy A64FX, another Arm HPC-focused design.

Report Suggests Samsung and LG Pushing Wider Adoption of LED Wall Displays at Cinemas

Samsung and LG are among an number of tech companies reportedly pushing for radical changes in the cinema viewing experience. In a piece published by the Hollywood Reporter last week, new behind-the-scenes information has come to light about an effort to replace the (some will say tried and true) traditional cinema theater projection system with LED walls. The vast majority of international theater chains rely on a front projection method (via a back of the booth), and very few locations have a more state-of-the-art LED display-based system in place. The Culver Theater (naturally located in Culver City, CA) is one of a hundred cinemas worldwide to possess a Samsung Onyx LED display - although the tech on show is said to be of an older standard. Industry insiders have been invited to attend demonstrations of a newer generation LED wall technology destined for cinemas in the future, and early impressions are purported to be mixed.

A cinema-based LED wall display functions in a similar way to how a modern LED-based flat screen TV works - although on a much greater massive scale - with particular benefits of the technology resulting in fantastic performance in terms of high dynamic range and peak brightness. The main downside of having a tightly packed array of large LED panels is the resultant heat output - critics of the technology state that it will be difficult to implement an adequate cooling system (through air conditioning) to tame the wall's temperature increasing properties. The power required to operate the LED panel array (plus required cooling solution) is said to be much higher than that of an old-fashioned projector's relatively modest draw from the electricity supply. An LED wall will also completely negate the traditional placement of loudspeakers behind a cinema's front-placed screen - and sound engineers will need to explore a different method of front audio channel output within the context of a next generation LED theater room.

NTT Enters the Global Consumer Electronics Market with NTT sonority "nwm" Earphones

-NTT sonority, Inc. (President: Hiroshi Sakai), a member of the NTT Group, one of the world's largest technology and business solutions companies, has entered the consumer electronics market via the development of an entirely new type of earphone using a unique technology called the "Personalized Sound Zone" (PSZ). The company has announced plans to commercially release the world's first open-ear PSZ technology developed by NTT Corporation (NTT) that locks audio into a small, individualized space. Crowdfunding for the wireless model nwm MBE001 on-ear speakers equipped with PSZ technology will begin on March 27, 2023, through Indiegogo. NTT sonority's audio brand nwm will continue to enhance its products with PSZ at their core, maximizing consumer safety and allowing users to experience the comfort of being connected through sound without having to worry about sound leakage.

NTT sonority's New Wave Maker (nwm) audio brand was created in November 2022 with the goal of creating an optimal audio experience. The company aims to expand its audio solutions to address future ways of working and living by creating not just a sense of immersion, but the comfort of being connected.

Samsung Electronics Announces Fourth Quarter and FY 2022 Results, Profits at an 8-year Low

Samsung Electronics today reported financial results for the fourth quarter and the fiscal year 2022. The Company posted KRW 70.46 trillion in consolidated revenue and KRW 4.31 trillion in operating profit in the quarter ended December 31, 2022. For the full year, it reported 302.23 trillion in annual revenue, a record high and KRW 43.38 trillion in operating profit.

The business environment deteriorated significantly in the fourth quarter due to weak demand amid a global economic slowdown. Earnings at the Memory Business decreased sharply as prices fell and customers continued to adjust inventory. The System LSI Business also saw a decline in earnings as sales of key products were weighed down by inventory adjustments in the industry. The Foundry Business posted a new record for quarterly revenue while profit increased year-on-year on the back of advanced node capacity expansion as well as customer base and application area diversification.

Samsung Electronics Unveils High-Performance PC SSD That Raises Everyday Computing and Gaming to a New Level

Samsung Electronics today announced production readiness of a high-performance PCIe 4.0 NVMe SSD, the PM9C1a. Integrated with a new controller based on Samsung's cutting-edge 5-nanometer (nm) process and the company's seventh-generation V-NAND technology, the PM9C1a will provide elevated computing and gaming performance in PCs and laptops.

"Our new PM9C1a SSD will deliver a robust combination of superior performance, greater power efficiency and increased security, which are the qualities that matter most to PC users," said Yong Ho Song, Executive Vice President of Memory Solution Product & Development at Samsung Electronics. "We are committed to creating storage that satisfies the diverse and changing market requirements as we continue to advance innovation in the PC SSD space."

CES is Back and Thriving - CES by the Numbers

CES 2023 ended today after an incredible week of product launches, major company announcements and innovation that will help to solve global challenges. CES shattered expected attendance drawing over 115,000 industry professionals - marking the largest audited global tech event since early 2020. With over 3200 exhibitors, including 1000 startups, CES 2023 showcased the next era of innovation from transportation and mobility to digital health, sustainability, Web3, metaverse and beyond.

For the first time, CES had a theme focused on Human Security for All. CES partnered with the United Nations Trust Fund for Human Security and the World Academy of Art and Science on the Human Security for All (HS4A) global campaign to foster food security, access to health care, personal income, environmental protection, personal safety, community security and political freedom. The products unveiled at CES 2023 tackle global issues such as access to clean water, food security, smart cities infrastructure, sustainable energy solutions, personal security and more. CES also featured the latest in accessibility tech, with innovation helping those in the disability community.

YMTC Could Abandon Market for 3D NAND Flash by 2024 Following US Government's Decision to Place It on Entity List, Says TrendForce

Global market intelligence firm TrendForce states that Chinese memory manufacturer YMTC is now at risk of exiting the market for 3D NAND Flash products by 2024 following its formal placement on the Entity List of the US Commerce Department on December 15. From this point forward, the Commerce Department will be reviewing and approving individual transactions related to the exportation, re-exportation, and sales of equipment, technologies, and other related goods from the US to YMTC. With acquisitions of equipment parts and technical support from its US partners becoming very difficult and prolonged, YMTC is going to be severely constrained from raising its bit output. Hence, its foothold on the market for 3D NAND Flash products is expected to weaken as time goes by.

TrendForce points out that without the support of the key equipment providers, YMTC is now facing a huge technical obstacle in the development of its latest 3D NAND Flash technology known as Xtacking 3.0. In particular, raising yield rate for the 128L and 232L processes is going to be extremely challenging for the Chinese memory manufacturer. Taking account of this latest escalation in the US-China trade dispute, TrendForce has further corrected down its projections on YMTC's supply bit growth rate and the total NAND Flash supply bit growth rate for next year. YMTC supply bits were initially forecasted to grow by 60% YoY for 2023. However, there was a massive downward correction that put its growth rate at just 18%. Now, YMTC is forecasted to post a YoY decline of 7%, which is a complete reversal from the earlier projections.

Total Revenue of Global Top 10 IC Design Houses for 3Q22 Showed QoQ Drop of 5.3%; Broadcom Overtaking NVIDIA and AMD

Global market intelligence firm TrendForce reports that the revenue generation momentum of the global IC design industry slowed down in 3Q22. The main factors behind this development were the Russia-Ukraine military conflict, the recent COVID-19 lockdowns in China, the ongoing inflation, and clients undergoing inventory corrections. The total revenue of the global top 10 IC design houses came to US$37.38 billion for 3Q22, showing a QoQ decline of 5.3%. Qualcomm remained first place in the ranking of the global top 10 IC design houses by revenue for 3Q22. Broadcom returned to second place by overtaking NVIDIA and AMD, who slipped to third and fourth respectively due to weakening demand for PCs and cryptocurrency mining machines.

Regarding US-based IC design houses that were in the top 10 group for 3Q22, Qualcomm recorded a QoQ increase for the sales of smartphone SoCs and 5G modem chips. It also made gains in the automotive electronics market by expanding its collaborations with partners in the automotive industry. As a result, Qualcomm's 3Q22 revenue figures for mobile and automotive offerings reflected QoQ increases of 6.8% and 22.0% respectively. The revenue growth of these two major product categories offset the marginal decline in the revenue for RF front-end chips. Qualcomm's IC design revenue as a whole climbed up by 5.6% QoQ to US$9.90 billion for 3Q22. The company sat firmly at the top of the ranking.

Hundreds of Renesas' Intersil-Brand Radiation-Hardened ICs Lift Off Onboard Artemis 1 Mission to the Moon

Renesas Electronics Corporation, a premier supplier of advanced semiconductor solutions, today announced that hundreds of its radiation-hardened (rad-hard) integrated circuits (ICs), including over 50 different part numbers, are onboard the Artemis 1 launch that blasted off on November 16. Intersil-brand rad-hard ICs are part of the battery management systems, RS-25 engine control electronics and the launch abort system on the Space Launch System that propelled the mission into space, the most powerful rocket ever built. On the Orion Capsule that will circle the moon, Renesas provided critical components for controller boards, the main flight computer, the docking camera system, the power distribution system and display and panel electronics. The Intersil-brand ICs perform multiple functions, including power management and precision signal processing.

Artemis is the ambitious NASA program that will take humankind back to the moon for the first time in more than 50 years. Artemis 1 is sending the test-mannequin populated Orion capsule to orbit the moon and deploy cubesats and other space experiments on a 42-day mission to test all the critical systems. Artemis 2 (2024) will have a crew that will orbit the moon paving the way for Artemis 3 (2025), which will land the first woman and the first person of color on the moon. The plan is for Artemis to continue to build a space station in lunar orbit and a base on the lunar South Pole. This infrastructure will allow for the awe-inspiring goal of a crewed mission to Mars in the 2040s.
Return to Keyword Browsing
Apr 25th, 2024 09:23 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts