Friday, February 16th 2024

ASML Expresses Concern About Geopolitical Tensions

The publication of ASML's 2023 Annual Report has revealed some interesting insights into how the photolithography producer remains diplomatic in times of global tension. Peter Wennink (President, Chief Executive Officer and Chair of the Board of Management) discussed his company's carefully considered tightrope act—here is his message to stakeholders: "In 2023, demand for our DUV systems continued to be strong, particularly in China. During the previous two years, our Chinese customers had received significantly fewer systems than they had ordered, due to global demand for our systems exceeding supply. However, the shifts in demand timing from other customers that we experienced in 2023 meant that we had the opportunity to backfill these orders for mature and midcritical nodes to China, while of course complying with export regulations." ASML is seemingly keen to continue doing business with Chinese customers, despite having to juggle with strict international trade rulings—as revealed in their financial report, trade in this region accounts for "26.3% of our 2023 total net sales." This places China in a second tier position, just behind Taiwan (29.3% of 2023 total net sale).

ASML was expecting to deliver a grand total of 600 DUV equipment units to Chinese customers by the end of 2025, but trade restriction adjustments nixed that avenue of business. The report's "Strategy and products" section highlights the company's concerns about narrowed lanes: "Geopolitical tensions may result in export control restrictions, trade sanctions, tariffs and more generally international trade regulations which may impact our ability to deliver our systems, technology, and services." China's leading foundry—Semiconductor Manufacturing International Corp (SMIC)—is reportedly targeting a 5 nm process node, although this would require a major readjustment of its existing collection of (older) lithography equipment. SMIC's flagship Shanghai location cannot upgrade to the most advanced DUV machinery in ASML's catalog, therefore workers are reliant on slightly antiquated gear (previously tasked with 7 nm manufacturing)—low yields and added expense are the anticipated headaches.

The report continued with an apprehensive tone: "Our ability to deliver technology in certain countries such as China has been and continues to be impacted by our ability to obtain required licenses and approvals...The list of Chinese entities impacted by export control restrictions has increased since 2022...These and further developments in multilateral and bilateral treaties, national regulation, and trade, national security and investment policies and practices have affected and may further affect our business, and the businesses of our suppliers and customers." The majority of ASML's clients in the region are happy to stick with machinery capable of churning out mature 28+ nm-class processes—the Dutch multinational corporation is more than ready to sell older generation fabrication equipment. The emergence of rival DUV-makers in China is another source of worry, given that government bodies are plowing significant funds into local semiconductor operations: "We also face competition from new competitors with substantial financial resources, as well as from competitors driven by the ambition of self-sufficiency in the geopolitical context...Furthermore, we face competition from alternative technological solutions or semiconductor manufacturing processes."
Show 7 Comments