• Welcome to TechPowerUp Forums, Guest! Please check out our forum guidelines for info related to our community.

Intel Announces Intel 14A (1.4 nm) and Intel 3T Foundry Nodes, Launches World's First Systems Foundry Designed for the AI Era

btarunr

Editor & Senior Moderator
Staff member
Joined
Oct 9, 2007
Messages
46,466 (7.66/day)
Location
Hyderabad, India
System Name RBMK-1000
Processor AMD Ryzen 7 5700G
Motherboard ASUS ROG Strix B450-E Gaming
Cooling DeepCool Gammax L240 V2
Memory 2x 8GB G.Skill Sniper X
Video Card(s) Palit GeForce RTX 2080 SUPER GameRock
Storage Western Digital Black NVMe 512GB
Display(s) BenQ 1440p 60 Hz 27-inch
Case Corsair Carbide 100R
Audio Device(s) ASUS SupremeFX S1220A
Power Supply Cooler Master MWE Gold 650W
Mouse ASUS ROG Strix Impact
Keyboard Gamdias Hermes E2
Software Windows 11 Pro
Intel Corp. today launched Intel Foundry as a more sustainable systems foundry business designed for the AI era and announced an expanded process roadmap designed to establish leadership into the latter part of this decade. The company also highlighted customer momentum and support from ecosystem partners - including Synopsys, Cadence, Siemens and Ansys - who outlined their readiness to accelerate Intel Foundry customers' chip designs with tools, design flows and IP portfolios validated for Intel's advanced packaging and Intel 18A process technologies.

The announcements were made at Intel's first foundry event, Intel Foundry Direct Connect, where the company gathered customers, ecosystem companies and leaders from across the industry. Among the participants and speakers were U.S. Secretary of Commerce Gina Raimondo, Arm CEO Rene Haas, Microsoft CEO Satya Nadella, OpenAI CEO Sam Altman and others.



"AI is profoundly transforming the world and how we think about technology and the silicon that powers it," said Intel CEO Pat Gelsinger. "This is creating an unprecedented opportunity for the world's most innovative chip designers and for Intel Foundry, the world's first systems foundry for the AI era. Together, we can create new markets and revolutionize how the world uses technology to improve people's lives."

Process Roadmap Expands Beyond 5N4Y
Intel's extended process technology roadmap adds Intel 14A to the company's leading-edge node plan, in addition to several specialized node evolutions. Intel also affirmed that its ambitious five-nodes-in-four-years (5N4Y) process roadmap remains on track and will deliver the industry's first backside power solution. Company leaders expect Intel will regain process leadership with Intel 18A in 2025.

The new roadmap includes evolutions for Intel 3, Intel 18A and Intel 14A process technologies. It includes Intel 3-T, which is optimized with through-silicon vias for 3D advanced packaging designs and will soon reach manufacturing readiness. Also highlighted are mature process nodes, including new 12 nanometer nodes expected through the joint development with UMC announced last month. These evolutions are designed to enable customers to develop and deliver products tailored to their specific needs. Intel Foundry plans a new node every two years and node evolutions along the way, giving customers a path to continuously evolve their offerings on Intel's leading process technology.

Intel also announced the addition of Intel Foundry FCBGA 2D+ to its comprehensive suite of ASAT offerings, which already include FCBGA 2D, EMIB, Foveros and Foveros Direct.

Microsoft Design on Intel 18A Headlines Customer Momentum
Customers are supporting Intel's long-term systems foundry approach. During Pat Gelsinger's keynote, Microsoft Chairman and CEO Satya Nadella stated that Microsoft has chosen a chip design it plans to produce on the Intel 18A process.

"We are in the midst of a very exciting platform shift that will fundamentally transform productivity for every individual organization and the entire industry," Nadella said. "To achieve this vision, we need a reliable supply of the most advanced, high-performance and high-quality semiconductors. That's why we are so excited to work with Intel Foundry, and why we have chosen a chip design that we plan to produce on Intel 18A process."

Intel Foundry has design wins across foundry process generations, including Intel 18A, Intel 16 and Intel 3, along with significant customer volume on Intel Foundry ASAT capabilities, including advanced packaging.

In total, across wafer and advanced packaging, Intel Foundry's expected lifetime deal value is greater than $15 billion.

IP and EDA Vendors Declare Readiness for Intel Process and Packaging Designs
Intellectual property and electronic design automation (EDA) partners Synopsys, Cadence, Siemens, Ansys, Lorentz and Keysight disclosed tool qualification and IP readiness to enable foundry customers to accelerate advanced chip designs on Intel 18A, which offers the foundry industry's first backside power solution. These companies also affirmed EDA and IP enablement across Intel node families.

At the same time, several vendors announced plans to collaborate on assembly technology and design flows for Intel's embedded multi-die interconnect bridge (EMIB) 2.5D packaging technology. These EDA solutions will ensure faster development and delivery of advanced packaging solutions for foundry customers.
Intel also unveiled an "Emerging Business Initiative" that showcases a collaboration with Arm to provide cutting-edge foundry services for Arm-based system-on-chips (SoCs). This initiative presents an important opportunity for Arm and Intel to support startups in developing Arm-based technology and offering essential IP, manufacturing support and financial assistance to foster innovation and growth.

Systems Approach Differentiates Intel Foundry in the AI Era
Intel's systems foundry approach offers full-stack optimization from the factory network to software. Intel and its ecosystem empower customers to innovate across the entire system through continuous technology improvements, reference designs and new standards.
Stuart Pann, senior vice president of Intel Foundry at Intel said, "We are offering a world-class foundry, delivered from a resilient, more sustainable and secure source of supply, and complemented by unparalleled systems of chips capabilities. Bringing these strengths together gives customers everything they need to engineer and deliver solutions for the most demanding applications."

Global, Resilient, More Sustainable and Trusted Systems Foundry
Resilient supply chains must also be increasingly sustainable, and today Intel shared its goal of becoming the industry's most sustainable foundry. In 2023, preliminary estimates show that Intel used 99% renewable electricity in its factories worldwide. Today, the company redoubled its commitment to achieving 100% renewable electricity worldwide, net-positive water and zero waste to landfills by 2030. Intel also reinforced its commitment to net-zero Scope 1 and Scope 2 GHG emissions by 2040 and net-zero upstream Scope 3 emissions by 2050.

View at TechPowerUp Main Site
 
Joined
Sep 6, 2013
Messages
3,033 (0.78/day)
Location
Athens, Greece
System Name 3 desktop systems: Gaming / Internet / HTPC
Processor Ryzen 5 5500 / Ryzen 5 4600G / FX 6300 (12 years latter got to see how bad Bulldozer is)
Motherboard MSI X470 Gaming Plus Max (1) / MSI X470 Gaming Plus Max (2) / Gigabyte GA-990XA-UD3
Cooling Νoctua U12S / Segotep T4 / Snowman M-T6
Memory 16GB G.Skill RIPJAWS 3600 / 16GB G.Skill Aegis 3200 / 16GB Kingston 2400MHz (DDR3)
Video Card(s) ASRock RX 6600 + GT 710 (PhysX)/ Vega 7 integrated / Radeon RX 580
Storage NVMes, NVMes everywhere / NVMes, more NVMes / Various storage, SATA SSD mostly
Display(s) Philips 43PUS8857/12 UHD TV (120Hz, HDR, FreeSync Premium) ---- 19'' HP monitor + BlitzWolf BW-V5
Case Sharkoon Rebel 12 / Sharkoon Rebel 9 / Xigmatek Midguard
Audio Device(s) onboard
Power Supply Chieftec 850W / Silver Power 400W / Sharkoon 650W
Mouse CoolerMaster Devastator III Plus / Coolermaster Devastator / Logitech
Keyboard CoolerMaster Devastator III Plus / Coolermaster Devastator / Logitech
Software Windows 10 / Windows 10 / Windows 7
If 18A and 20A are 5nm, I doubt 14A means 1.4nm.
 
Joined
Jun 29, 2018
Messages
467 (0.22/day)
That infographic looks familiar ;)

(TPU article from 2018)

Hopefully Intel will be able to deliver on their plans and stay competitive. TSMC becoming a monopoly isn't good for us consumers.
 
Joined
Jan 27, 2024
Messages
138 (1.25/day)
Location
TPU censorship
Processor AMD
Motherboard AMD chipset
Cooling Cool
Memory Fast
Video Card(s) AMD/ATi Radeon | Matrox Ultra high quality
Storage Lexar
Display(s) 4K
Case Transparent left side window
Audio Device(s) Yes
Power Supply Deepcool Gold 750W
Mouse Yes
Keyboard Yes
VR HMD No
Software Windows 10
Benchmark Scores Yes
If 18A and 20A are 5nm, I doubt 14A means 1.4nm.

Yup.

TSMC 10 nm = 52 MTr / mm2
Intel 10 nm = 100.76 MTr / mm2
TSMC 7 nm = 114 MTr / mm2
TSMC 6 nm = 114 MTr / mm2
Intel 4 nm = 123 MTr / mm2
TSMC 5 nm = 138 MTr / mm2
TSMC 4 nm = 144 MTr / mm2
TSMC 3 nm = 224 MTr / mm2
TSMC 2 nm = 258 MTr / mm2

With 100% density being TSMC N2. Compared to N2, Intel 18A appears ~ 75% as dense, and Intel 3 appears 45% as dense.
https://www.reddit.com/r/intel/comments/15ctryl

Intel 3 nm = 133 MTr / mm2 ?
Intel 2 nm = ~150 MTr / mm2 ?
Intel 1.8 nm = 193 MTr / mm2 ?

TSMC becoming a monopoly isn't good for us consumers.

Don't forget SMIC, UMC, GlobalFoundries, Samsung and Intel.
 
Joined
Oct 27, 2020
Messages
789 (0.61/day)
I wonder what chip design Microsoft has chosen to produce on the Intel 18A process.
 
Joined
Aug 10, 2020
Messages
96 (0.07/day)
Imagine if Intel just released a new hedt CPU on a new lithography rather than talking about it for years? What ever happened to even Intel 4 let alone Intel 18A?

If Zen 5 will use TSMC 4nm does Intel even stand a chance on a recovery in Q4 2024?
 
Joined
Jan 27, 2024
Messages
138 (1.25/day)
Location
TPU censorship
Processor AMD
Motherboard AMD chipset
Cooling Cool
Memory Fast
Video Card(s) AMD/ATi Radeon | Matrox Ultra high quality
Storage Lexar
Display(s) 4K
Case Transparent left side window
Audio Device(s) Yes
Power Supply Deepcool Gold 750W
Mouse Yes
Keyboard Yes
VR HMD No
Software Windows 10
Benchmark Scores Yes
Imagine if Intel just released a new hedt CPU on a new lithography rather than talking about it for years?

I suspect in that case AMD would be forced to offer something better than a 16-core.

What ever happened to even Intel 4 let alone Intel 18A?

Some say that ASML delivers the needed EUV machines only to TSMC? If that's the case, then it's more like denied access to Intel of edge technologies.
The other version is that Intel lacks engineering know-how to deliver anything beyond its 10nm/7 process. :rolleyes:

If Zen 5 will use TSMC 4nm does Intel even stand a chance on a recovery in Q4 2024?

Except that Intel has the larger pie of the market share, despite the very high competitiveness of Ryzen. That's only the DIY market and mostly in Germany which heavily favours AMD's products for historical reasons, they have a former AMD arm in Dresden?

1708544792968.png

 
Joined
Jun 29, 2018
Messages
467 (0.22/day)
Don't forget SMIC, UMC, GlobalFoundries, Samsung and Intel.
I am not forgetting them, but TSMC is dominating the highest end manufacturing of semiconductors. Intel is using TSMC for GPUs and now parts of CPUs as well.
Samsung has not been able to score a huge client in recent years as far as I know. The last one was NVIDIA's consumer versions of Ampere - the datacenter version was made by TSMC.
 
Joined
Oct 6, 2021
Messages
1,479 (1.55/day)
Yup.

TSMC 10 nm = 52 MTr / mm2
Intel 10 nm = 100.76 MTr / mm2
TSMC 7 nm = 114 MTr / mm2
TSMC 6 nm = 114 MTr / mm2
Intel 4 nm = 123 MTr / mm2
TSMC 5 nm = 138 MTr / mm2
TSMC 4 nm = 144 MTr / mm2
TSMC 3 nm = 224 MTr / mm2
TSMC 2 nm = 258 MTr / mm2


https://www.reddit.com/r/intel/comments/15ctryl

Intel 3 nm = 133 MTr / mm2 ?
Intel 2 nm = ~150 MTr / mm2 ?
Intel 1.8 nm = 193 MTr / mm2 ?



Don't forget SMIC, UMC, GlobalFoundries, Samsung and Intel.
From what I've researched, the 13900k (intel 7) has 25.9B transistors, so if the die is 258mm², that's 100mT/mm².

Intel's process density isn't bad, the same goes for Samsung; the problem is that in terms of efficiency, both are crawling at the feet of TSMC
 
Joined
Dec 24, 2010
Messages
450 (0.09/day)
Location
mississauga, on, Canada
System Name YACS amd
Processor 5800x,
Motherboard gigabyte x570 aorus gaming elite.
Cooling bykski GPU, and CPU, syscooling p93x pump
Memory corsair vengeance pro rgb, 3600 ddr4 stock timings.
Video Card(s) xfx merc 310 7900xtx
Storage kingston kc3000 2TB, amongst others. Fanxiang s770 2TB
Display(s) benq ew3270u, or acer XB270hu, acer XB280hk, asus VG 278H,
Case lian li LANCOOL III
Audio Device(s) obs,
Power Supply FSP Hydro Ti pro 1000w
Mouse logitech g703
Keyboard durogod keyboard. (cherry brown switches)
Software win 11, win10pro.
That infographic looks familiar ;)

(TPU article from 2018)

Hopefully Intel will be able to deliver on their plans and stay competitive. TSMC becoming a monopoly isn't good for us consumers.

how is TSMC monopoly when they’ll make chips that Intel won’t make…?
 
Joined
Sep 6, 2013
Messages
3,033 (0.78/day)
Location
Athens, Greece
System Name 3 desktop systems: Gaming / Internet / HTPC
Processor Ryzen 5 5500 / Ryzen 5 4600G / FX 6300 (12 years latter got to see how bad Bulldozer is)
Motherboard MSI X470 Gaming Plus Max (1) / MSI X470 Gaming Plus Max (2) / Gigabyte GA-990XA-UD3
Cooling Νoctua U12S / Segotep T4 / Snowman M-T6
Memory 16GB G.Skill RIPJAWS 3600 / 16GB G.Skill Aegis 3200 / 16GB Kingston 2400MHz (DDR3)
Video Card(s) ASRock RX 6600 + GT 710 (PhysX)/ Vega 7 integrated / Radeon RX 580
Storage NVMes, NVMes everywhere / NVMes, more NVMes / Various storage, SATA SSD mostly
Display(s) Philips 43PUS8857/12 UHD TV (120Hz, HDR, FreeSync Premium) ---- 19'' HP monitor + BlitzWolf BW-V5
Case Sharkoon Rebel 12 / Sharkoon Rebel 9 / Xigmatek Midguard
Audio Device(s) onboard
Power Supply Chieftec 850W / Silver Power 400W / Sharkoon 650W
Mouse CoolerMaster Devastator III Plus / Coolermaster Devastator / Logitech
Keyboard CoolerMaster Devastator III Plus / Coolermaster Devastator / Logitech
Software Windows 10 / Windows 10 / Windows 7
If Zen 5 will use TSMC 4nm does Intel even stand a chance on a recovery in Q4 2024?
There are rumors of AMD going with 3nm for Zen 5c. If AMD is going to use both TSMC processes, 4nm AND 3nm, then maybe their inside info is that Intel's future nodes are doing better than the past and AMD needs all the advantages TSMC has to offer.
 
Joined
May 3, 2019
Messages
1,516 (0.82/day)
System Name BigRed
Processor I7 12700k
Motherboard Asus Rog Strix z690-A WiFi D4
Cooling Noctua D15s/MX6
Memory TEAM GROUP 32GB DDR4 4000C16 B die
Video Card(s) MSI RTX 3080 Gaming Trio X 10GB
Storage M.2 drives-Crucial P5 500GB 4x4/WD SN850X 4TB 4x4/WD SN850X 2TB 4x4
Display(s) Dell s3422dwg 34" 3440x1440p 144hz ultrawide
Case Corsair 7000D
Audio Device(s) Topping D10s DAC/PCamp TC 1680 AMP/MS M10 Speakers/Bowers and Wilkins P7 Headphones
Power Supply Corsair RM850x 80% gold
Mouse Logitech G604 wireless
Keyboard Logitech G413 carbon
Software Windows 10 Pro
Benchmark Scores Who cares
big IF Intel start to do better than TSMC, AMD will be screwed as they are at the mercy of TSMC. I never write off Intel. Still if i had the cash right now i would be buying into an AMD rig instead of upgrading my Intel one.
 
Joined
Jun 29, 2018
Messages
467 (0.22/day)
how is TSMC monopoly when they’ll make chips that Intel won’t make…?
Without competition from Intel (or Samsung, or any other foundry) TSMC will be able to maximize pricing for their top nodes, and that will increase the cost to the end consumer.
 
Joined
May 25, 2022
Messages
82 (0.11/day)
Yup.

TSMC 10 nm = 52 MTr / mm2
Intel 10 nm = 100.76 MTr / mm2
TSMC 7 nm = 114 MTr / mm2
TSMC 6 nm = 114 MTr / mm2
Intel 4 nm = 123 MTr / mm2
TSMC 5 nm = 138 MTr / mm2
TSMC 4 nm = 144 MTr / mm2
TSMC 3 nm = 224 MTr / mm2
TSMC 2 nm = 258 MTr / mm2
The issue with that analysis is that it says Intel 4 is only 22% increase in density over Intel 7 when Meteorlake shows 40% increase in density.

It's at an even greater 52% when you analyze the E core Crestmont. Analyzing individual blocks shows gains as much as 60% gains. L2 cache size has slightly decreased while capacity increased from 1.25 to 2MB, a 60% increase in density.
If 18A and 20A are 5nm, I doubt 14A means 1.4nm.
Neither are TSMC's N3 3nm. After 32nm, meanings of process has been thrown out the window. Actually, deviation started back in 65-45nm.
 
Last edited:
Joined
Nov 8, 2017
Messages
151 (0.06/day)
Imagine if Intel just released a new hedt CPU on a new lithography rather than talking about it for years? What ever happened to even Intel 4 let alone Intel 18A?

If Zen 5 will use TSMC 4nm does Intel even stand a chance on a recovery in Q4 2024?
Intel 4 is already found on commercial products :
1708555133572.jpeg

It seems that people are still confused about Intel new naming scheme : it's a TSMC scale, intel 7nm is supposed to compete against TSMC 4nm hence they called it intel 4. ( And no, ADL/RPL being so inefficient isn't because intel 10nm doesn't in fact compete with TSMC 7nm, the arch is just inefficient vs AMD. Intel nodes were never comparable to the competition at equal number: TSMC 10nm was competing with Intel 14nm. They didn't care when they had the lead, but now they changed their naming to not look bad. That's a pure marketing strat. )
1708555321688.jpeg
 
Joined
Jan 27, 2024
Messages
138 (1.25/day)
Location
TPU censorship
Processor AMD
Motherboard AMD chipset
Cooling Cool
Memory Fast
Video Card(s) AMD/ATi Radeon | Matrox Ultra high quality
Storage Lexar
Display(s) 4K
Case Transparent left side window
Audio Device(s) Yes
Power Supply Deepcool Gold 750W
Mouse Yes
Keyboard Yes
VR HMD No
Software Windows 10
Benchmark Scores Yes
The issue with that analysis is that it says Intel 4 is only 22% increase in density over Intel 7 when Meteorlake shows 40% increase in density.

It's at an even greater 52% when you analyze the E core Crestmont. Analyzing individual blocks shows gains as much as 60% gains. L2 cache size has slightly decreased while capacity increased from 1.25 to 2MB, a 60% increase in density.

I know. That's because someone has put semiaccurate data in the used source for reference (wiki), and I automatically used them without modifying to the more accurate values. Thanks for the correction.
This should be better:

TSMC 10 nm = 52 MTr / mm2
Intel 10/7 nm = 100.76 MTr / mm2
TSMC 7 nm = 114 MTr / mm2
TSMC 6 nm = 114 MTr / mm2
TSMC 5 nm = 150 MTr / mm2
Intel 4 nm = 150 MTr / mm2
TSMC 4 nm = 155 MTr / mm2
TSMC 3 nm = 224 MTr / mm2
TSMC 2 nm = 258 MTr / mm2

Intel 3 nm = 160 MTr / mm2 ?
Intel 2 nm = ~180 MTr / mm2 ?
Intel 1.8 nm = 193 MTr / mm2 ?
 
Joined
May 25, 2022
Messages
82 (0.11/day)
I know. That's because someone has put semiaccurate data in the used source for reference (wiki), and I automatically used them without modifying to the more accurate values. Thanks for the correction.
This should be better:

TSMC 10 nm = 52 MTr / mm2
Intel 10/7 nm = 100.76 MTr / mm2
TSMC 7 nm = 114 MTr / mm2
TSMC 6 nm = 114 MTr / mm2
TSMC 5 nm = 150 MTr / mm2
Intel 4 nm = 150 MTr / mm2
TSMC 4 nm = 155 MTr / mm2
TSMC 3 nm = 224 MTr / mm2
TSMC 2 nm = 258 MTr / mm2

Intel 3 nm = 160 MTr / mm2 ?
Intel 2 nm = ~180 MTr / mm2 ?
Intel 1.8 nm = 193 MTr / mm2 ?
Those are theoretical values(both for Intel and other foundries).

No matter how accurate an analysis(or analyst) might be, unless they have insider info, they can't get it correct. Also, implementations are different. The 100 MTr/mm2 for Intel 10nm is also a theoretical value likely only reachable by certain sections of the chip such as graphics and their E cores. Their P cores never lived up to the post-22nm density claims with both 14nm and 10nm being 2x as dense rather than being 2.7x. Atom on the other hand got 64% reduction with 14nm Airmont, which is a 2.7x density gain and Tremont on 10nm is significantly smaller than Goldmont Plus at about 0.8-0.9mm2 or so despite the big changes.

Actually according to Intel, Intel 4 can reach 2x the density over Intel 7. In reality it doesn't, partly because full libraries won't be available until Intel 3. Same will be with 20A vs 18A. While 20A might sound impressive, it'll underperform(in perf and density) like Intel 4 and it'll be 18A that's good. There's a reason why the volume variants are coming only with Intel 3 and 18A. With 20A, it is a small subsection with current rumors saying it'll only come with 6+8 core for -S desktop Arrowlake and rest being on N3.

Another thing about Intel's 10nm implementations is that the high-performing versions such as the 10nm SF and the Intel 7 uses slightly larger pitch over the preceding variations. Intel 7 is slightly larger than 10nm SF, and 10nm SF over 10nm. Despite that, the die size did not change. However if they were to aim for max density, 10nm SF and Intel 7 would likely be a density reduction over 10nm. The E core on Alder/Raptor is at 1.5-1.7mm2 which is larger than expected and is a deviation and likely a combo of density reduction on Intel 7 plus needing to be stuck on larger cells due to being on the same process variant as the P core. Same seems true of Meteorlake with E core on that chip being 1mm2 when a near straight shrink should be smaller.

True picture is always much, much more complex than on the surface. Likely even if Intel reaches or even beats theoretical density on 18A over TSMC's N2, TSMC is still far more attractive to use because of the broad ecosystem support and reputation.
 
Last edited:
Joined
Dec 25, 2020
Messages
4,816 (3.89/day)
Location
São Paulo, Brazil
System Name Project Kairi Mk. IV "Eternal Thunder"
Processor 13th Gen Intel Core i9-13900KS Special Edition
Motherboard MSI MEG Z690 ACE (MS-7D27) BIOS 1G
Cooling Noctua NH-D15S + NF-F12 industrialPPC-3000 w/ Thermalright BCF and NT-H1
Memory G.SKILL Trident Z5 RGB 32GB DDR5-6800 F5-6800J3445G16GX2-TZ5RK @ 6400 MT/s 30-38-38-38-70-2
Video Card(s) ASUS ROG Strix GeForce RTX™ 4080 16GB GDDR6X White OC Edition
Storage 1x WD Black SN750 500 GB NVMe + 4x WD VelociRaptor HLFS 300 GB HDDs
Display(s) 55-inch LG G3 OLED
Case Cooler Master MasterFrame 700
Audio Device(s) EVGA Nu Audio (classic) + Sony MDR-V7 cans
Power Supply EVGA 1300 G2 1.3kW 80+ Gold
Mouse Razer DeathAdder Essential Mercury White
Keyboard Redragon Shiva Lunar White
Software Windows 10 Enterprise 22H2
Benchmark Scores "Speed isn't life, it just makes it go faster."
Yup.

TSMC 10 nm = 52 MTr / mm2
Intel 10 nm = 100.76 MTr / mm2
TSMC 7 nm = 114 MTr / mm2
TSMC 6 nm = 114 MTr / mm2
Intel 4 nm = 123 MTr / mm2
TSMC 5 nm = 138 MTr / mm2
TSMC 4 nm = 144 MTr / mm2
TSMC 3 nm = 224 MTr / mm2
TSMC 2 nm = 258 MTr / mm2


https://www.reddit.com/r/intel/comments/15ctryl

Intel 3 nm = 133 MTr / mm2 ?
Intel 2 nm = ~150 MTr / mm2 ?
Intel 1.8 nm = 193 MTr / mm2 ?



Don't forget SMIC, UMC, GlobalFoundries, Samsung and Intel.

"nm" has largely been a marketing term for a very long time now, for example, the lithographies of Ivy Bridge/Haswell and Broadwell/Skylake:

14nmFeatureSize.png
 
Joined
Aug 22, 2007
Messages
3,463 (0.57/day)
Location
CA, US
System Name :)
Processor Intel 13700k
Motherboard Gigabyte z790 UD AC
Cooling Noctua NH-D15
Memory 64GB GSKILL DDR5
Video Card(s) Gigabyte RTX 4090 Gaming OC
Storage 960GB Optane 905P U.2 SSD + 4TB PCIe4 U.2 SSD
Display(s) Alienware AW3423DW 175Hz QD-OLED + Nixeus 27" IPS 1440p 144Hz
Case Fractal Design Torrent
Audio Device(s) MOTU M4 - JBL 305P MKII w/2x JL Audio 10 Sealed --- X-Fi Titanium HD - Presonus Eris E5 - JBL 4412
Power Supply Silverstone 1000W
Mouse Roccat Kain 122 AIMO
Keyboard KBD67 Lite / Mammoth75
VR HMD Reverb G2 V2
Software Win 11 Pro
Joined
Dec 25, 2020
Messages
4,816 (3.89/day)
Location
São Paulo, Brazil
System Name Project Kairi Mk. IV "Eternal Thunder"
Processor 13th Gen Intel Core i9-13900KS Special Edition
Motherboard MSI MEG Z690 ACE (MS-7D27) BIOS 1G
Cooling Noctua NH-D15S + NF-F12 industrialPPC-3000 w/ Thermalright BCF and NT-H1
Memory G.SKILL Trident Z5 RGB 32GB DDR5-6800 F5-6800J3445G16GX2-TZ5RK @ 6400 MT/s 30-38-38-38-70-2
Video Card(s) ASUS ROG Strix GeForce RTX™ 4080 16GB GDDR6X White OC Edition
Storage 1x WD Black SN750 500 GB NVMe + 4x WD VelociRaptor HLFS 300 GB HDDs
Display(s) 55-inch LG G3 OLED
Case Cooler Master MasterFrame 700
Audio Device(s) EVGA Nu Audio (classic) + Sony MDR-V7 cans
Power Supply EVGA 1300 G2 1.3kW 80+ Gold
Mouse Razer DeathAdder Essential Mercury White
Keyboard Redragon Shiva Lunar White
Software Windows 10 Enterprise 22H2
Benchmark Scores "Speed isn't life, it just makes it go faster."
The title should be changed. The press release never mentioned anything about 1.4nm.

Intel never mentioned 1.4nm in the press release. That was added by TPU :shadedshu:

It's not incorrect, 14 angstrom translates to 1.4 nm. It is a simple divide nanometer by 10 formula. However, it remains that it's a marketing term, transistor and fin pitch sizes are obviously nowhere near close to the 10 nm realm, let alone 7, 5 or 3. The use of nanometers in lithography has been inaccurate for a very long time, it's simply a marketing quirk nowadays.
 
Joined
Feb 3, 2017
Messages
3,496 (1.31/day)
Processor R5 5600X
Motherboard ASUS ROG STRIX B550-I GAMING
Cooling Alpenföhn Black Ridge
Memory 2*16GB DDR4-2666 VLP @3800
Video Card(s) EVGA Geforce RTX 3080 XC3
Storage 1TB Samsung 970 Pro, 2TB Intel 660p
Display(s) ASUS PG279Q, Eizo EV2736W
Case Dan Cases A4-SFX
Power Supply Corsair SF600
Mouse Corsair Ironclaw Wireless RGB
Keyboard Corsair K60
VR HMD HTC Vive
Another thing about Intel's 10nm implementations is that the high-performing versions such as the 10nm SF and the Intel 7 uses slightly larger pitch over the preceding variations. Intel 7 is slightly larger than 10nm SF, and 10nm SF over 10nm. Despite that, the die size did not change. However if they were to aim for max density, 10nm SF and Intel 7 would likely be a density reduction over 10nm. The E core on Alder/Raptor is at 1.5-1.7mm2 which is larger than expected and is a deviation and likely a combo of density reduction on Intel 7 plus needing to be stuck on larger cells due to being on the same process variant as the P core. Same seems true of Meteorlake with E core on that chip being 1mm2 when a near straight shrink should be smaller.
The same applies to TSMC as well. The quoted numbers are high density variants - mobile, power efficiency - but high performance variations are generally a good third less dense by default. Intel's processes are generally comparable to latter. Yes, there are chip design level things to get performance (clock speeds mostly) further up but that applies to both.

Plus, there are things that do not scale any more. Memory is the most talked about one and one of the reasons AMD has been trying to get cache off to chiplets, be it 3DCache or MCD-s of RDNA3 GPUs.
 
Joined
Dec 31, 2020
Messages
785 (0.64/day)
Processor E5-2690 v4
Motherboard VEINEDA X99
Video Card(s) 2080 Ti WINDFROCE OC
Storage NE-512 KingSpec
Display(s) G27Q
Case DAOTECH X9
Power Supply SF450
i get the feeling that 14A would be the new 14NM that lasted for a decade 5-11th gen provided they can even manage to get it off the ground.
 
Joined
May 25, 2022
Messages
82 (0.11/day)
The same applies to TSMC as well. The quoted numbers are high density variants - mobile, power efficiency - but high performance variations are generally a good third less dense by default. Intel's processes are generally comparable to latter. Yes, there are chip design level things to get performance (clock speeds mostly) further up but that applies to both.

Plus, there are things that do not scale any more. Memory is the most talked about one and one of the reasons AMD has been trying to get cache off to chiplets, be it 3DCache or MCD-s of RDNA3 GPUs.
You probably know this already but when they talk about such numbers, they clarify what kind of process it is. If they achieve 85% of theoretical that's damn good. Oftentimes it falls far short, not surprising to be under 50% of the number. So it'd be 0.5 x HP process and 0.5 x HD process.

We might want to differentiate between cache and actual memory such as RAM. RAM chips have been stuck under 1xnm(10-19nm) sizes for a decade now. The reason is because the simple structure of RAM means it's easier to scale, thus it hit tool limits faster, while things like SRAM in caches and logic are more complicated so it's further away from that. RAM cell sizes are fraction of SRAM, not just because of the 1T+1C vs 6T. Seriously, they are friggin' small! eDRAM, which is a variant for logic processes is few times smaller than SRAM, is few times larger than RAM cells.

SRAM is more complex, but it's still very regular structures easier to optimize, hence it hit the limit faster. Such limits disproportionately benefit companies that are behind, since they are further away from the limit. TSMC is the leader, so they hit it first. Intel was seriously behind, so Intel 4 is a 60% density gain for SRAM which is substantial. We'll see how Intel does once they become the leading edge.
 
Top