• Welcome to TechPowerUp Forums, Guest! Please check out our forum guidelines for info related to our community.

Intel 10A (1 nm-class) Node to Enter Mass Production in 2027

btarunr

Editor & Senior Moderator
Staff member
Joined
Oct 9, 2007
Messages
46,513 (7.66/day)
Location
Hyderabad, India
System Name RBMK-1000
Processor AMD Ryzen 7 5700G
Motherboard ASUS ROG Strix B450-E Gaming
Cooling DeepCool Gammax L240 V2
Memory 2x 8GB G.Skill Sniper X
Video Card(s) Palit GeForce RTX 2080 SUPER GameRock
Storage Western Digital Black NVMe 512GB
Display(s) BenQ 1440p 60 Hz 27-inch
Case Corsair Carbide 100R
Audio Device(s) ASUS SupremeFX S1220A
Power Supply Cooler Master MWE Gold 650W
Mouse ASUS ROG Strix Impact
Keyboard Gamdias Hermes E2
Software Windows 11 Pro
Last week at the Intel Foundry Services Connect event, Intel unveiled its Intel 14A foundry node (1.4 nm-class), to succeed its Intel 18A and Intel 20A nodes, with mass production on this node expected to commence in 2026. It turns out that there is an even more advanced node Intel is working on, which it didn't announce last week, but which was part of an NDA presentation that the company forgot to lift. We're talking about the new Intel 10A node, a 1 nm-class silicon fabrication node that's a generation ahead of Intel 20A. The company says that it expects mass production on the node to begin toward the end of 2027. It is on the backs of these sub-2 nm class nodes, and the impending organizational changes that sees Intel Foundry Services become a more independent commercial entity, that Intel CEO Pat Gelsinger thinks that Intel will become the "TSMC of the West."

Currently, fabs that utilize EUV (extreme ultraviolet) lithography, namely the Intel 4, Intel 3, and Intel 20A; together make barely 15% of Intel's wafer volumes, with the bulk of the foundry's production focusing on the DUV based Intel 7. EUV-based nodes are expected to linearly grow till 2025, but what's interesting is that Intel doesn't see the kind of multi-year stagnation on Intel 4 and Intel 3 that it's currently experiencing with Intel 7; with wafer volumes of Intel 20A and 18A expected to exceed those of the Intel 4 and Intel 3 within 2025. By 2026, Intel expects that there will be twice as many Intel 20A/18A wafers pushed as Intel 4 and Intel 3. Although they use EUV, Intel 4 and Intel 3 are Intel's final nodes to implement FinFET transistors, as the company transitions to nanosheets with Intel 20A (which are called RibbonFETs in Intel jargon). Intel did not get into the technology behind Intel 10A. The company, along with Samsung and TSMC, demonstrated its stacked CFET transistor in 2023, which will power foundry nodes as nanosheets mature. Intel in its presentation also talked about the next wave of factory automation implemented by IFS, which sees AI-driven "cobots" (collaborative robots) replace humans for more roles in the clean room.



View at TechPowerUp Main Site | Source
 
Joined
Jan 29, 2023
Messages
837 (1.74/day)
System Name KLM
Processor 7800X3D
Motherboard B-650E-E Strix
Cooling Arctic Cooling III 280
Memory 16x2 Fury Renegade 6000-32
Video Card(s) 4070-ti PNY
Storage 512+512+1+2+2+2+2+6+500+256+4+4+4
Display(s) VA 32" 4K@60 - OLED 27" 2K@240
Case 4000D Airflow
Audio Device(s) Edifier 1280Ts
Power Supply Shift 1000
Mouse 502 Hero
Keyboard K68
Software EMDB
Benchmark Scores 0>1000
1nm, and next is 0,xxx.
 
Joined
Sep 15, 2011
Messages
6,502 (1.40/day)
Processor Intel® Core™ i7-13700K
Motherboard Gigabyte Z790 Aorus Elite AX
Cooling Noctua NH-D15
Memory 32GB(2x16) DDR5@6600MHz G-Skill Trident Z5
Video Card(s) ZOTAC GAMING GeForce RTX 3080 AMP Holo
Storage 2TB SK Platinum P41 SSD + 4TB SanDisk Ultra SSD + 500GB Samsung 840 EVO SSD
Display(s) Acer Predator X34 3440x1440@100Hz G-Sync
Case NZXT PHANTOM410-BK
Audio Device(s) Creative X-Fi Titanium PCIe
Power Supply Corsair 850W
Mouse Logitech Hero G502 SE
Software Windows 11 Pro - 64bit
Benchmark Scores 30FPS in NFS:Rivals
What is 1nm?? /s
 
Last edited:
Joined
May 13, 2015
Messages
632 (0.19/day)
Processor AMD Ryzen 3800X / AMD 8350
Motherboard ASRock X570 Phantom Gaming X / Gigabyte 990FXA-UD5 Revision 3.0
Cooling Stock / Corsair H100
Memory 32GB / 24GB
Video Card(s) Sapphire RX 6800 / AMD Radeon 290X (Toggling until 6950XT)
Storage C:\ 1TB SSD, D:\ RAID-1 1TB SSD, 2x4TB-RAID-1
Display(s) Samsung U32E850R
Case be quiet! Dark Base Pro 900 Black rev. 2 / Fractal Design
Audio Device(s) Creative Sound Blaster X-Fi
Power Supply EVGA Supernova 1300G2 / EVGA Supernova 850G+
Mouse Logitech M-U0007
Keyboard Logitech G110 / Logitech G110
If this is so great why the rumors that Intel is abandoning their own foundries to use TSMC, drive up demand and therefore the price for AMD? For the clueless, these are rhetorical questions.
 
Joined
Dec 12, 2016
Messages
1,314 (0.48/day)
Not for a while. Sub-1nm scales are near atomic scales. It will be some time before methods are found to take advantage of atomic scale circuit manipulation.
The process is 10A. One nanometer has nothing to do with it. Angstom also has nothing to do with it. Names are mostly marketing now.

Transistor scaling is compared against older planar transistors. With the introduction of FinFet, GAAfet and others, the effective density is given a shrink value compared to how many of these old planar transistors would fit in the same area.

The smallest feature sizes of all competing nodes are still well over 10 nm and nowhere near atomic sizes. Someone posted a good youtube video explaining this a few days ago.

Edit: here it is

 
Joined
Jul 5, 2013
Messages
25,672 (6.46/day)
If this is so great why the rumors that Intel is abandoning their own foundries to use TSMC
They are not abandoning their existing fabs. They have to use TSMC while they upgrade/rebuild some them to spead out their workload.

The process is 10A. One nanometer has nothing to do with it. Angstom also has nothing to do with it. Names are mostly marketing now.
Um...
Intel unveiled its Intel 14A foundry node (1.4 nm-class), to succeed its Intel 18A and Intel 20A nodes
...hmmm.
The smallest feature sizes are still well over 10 nm and nowhere near atomic sizes. Someone posted a good youtube video explaining this a few days ago.
I am not getting into that pedantic debate..
 
Low quality post by Daven
Joined
Dec 12, 2016
Messages
1,314 (0.48/day)
I am not getting into that pedantic debate..
When telling others about atomic sizes and how small a nanometer is, you are misrepresenting the actual size of nodes and therefore others like me have to fact check you. No need for you to debate or respond. I’m doing it for the other commentors.
 
Joined
Dec 12, 2016
Messages
1,314 (0.48/day)
What is 1nm??
Intel renamed its nodes to smaller values to coincide with TSMC even though the process stayed the same. So unless Intel has the power to change length units, you can ignore any ‘nm’ talk. Its just a 10A named process which is smaller than the 14A named process. That’s about all we can say.
 
Joined
Jan 29, 2023
Messages
837 (1.74/day)
System Name KLM
Processor 7800X3D
Motherboard B-650E-E Strix
Cooling Arctic Cooling III 280
Memory 16x2 Fury Renegade 6000-32
Video Card(s) 4070-ti PNY
Storage 512+512+1+2+2+2+2+6+500+256+4+4+4
Display(s) VA 32" 4K@60 - OLED 27" 2K@240
Case 4000D Airflow
Audio Device(s) Edifier 1280Ts
Power Supply Shift 1000
Mouse 502 Hero
Keyboard K68
Software EMDB
Benchmark Scores 0>1000
It's 1nm, how they call it, sur thing our eye can't see it !
 
Joined
Dec 12, 2016
Messages
1,314 (0.48/day)
It's 1nm, how they call it, sur thing our eye can't see it !
No company uses ‘nm’ in the naming anymore. Intel names are Intel 4, Intel 3, Intel 20A, etc. TSMC uses N3, N3E, N3X, etc. Samsung uses 3GAE, 3GAP, etc.

And you can ‘see it’ with a scanning electron microscope (SEM) just like you can see the rings of Saturn with a telescope. SEM allows you to measure the smallest feature sizes. Its not magic. Its science.
 
Joined
Jun 29, 2023
Messages
497 (1.51/day)
Location
Spain
System Name Gungnir
Processor Ryzen 5 7600X @1.25v
Motherboard ASUS TUF B650M-PLUS WIFI
Cooling Thermalright Peerless Assasin 120 SE Black
Memory 2x16GB DDR5 CL36 5600MHz
Video Card(s) XFX RX 6800XT Merc 319 @1.1v @2600MHz clock @2140MHz vram freq. (surprisingly stable)
Storage 1TB WD SN770 | 2TB WD Blue SATA III SSD
Display(s) 1440p 165Hz VA
Case Lian Li Lancool 215
Audio Device(s) Beyerdynamic DT 770 PRO 80Ohm
Power Supply EVGA SuperNOVA 750W 80 Plus Gold
Mouse Logitech G Pro Wireless
Keyboard Keychron V6
VR HMD The bane of my existence (Oculus Quest 2)
Hope they actually get there and do it well, we all know that TSMC needs firm competition...
 
Joined
Feb 27, 2024
Messages
35 (0.40/day)
Processor Ryzen 7800X3D
Motherboard MSI X670E Tomahawk
Cooling Thermalright Peerless Assassin 120
Memory 32GB (6000/30)
Video Card(s) 4070 Ti @ 3+ GHz
Storage Samsung 990 Pro 4TB
Display(s) Dell 1440p 360 Hz QD-OLED
If this is so great why the rumors that Intel is abandoning their own foundries to use TSMC, drive up demand and therefore the price for AMD? For the clueless, these are rhetorical questions.
Intel uses TSMC for the GPU tile only AFAIK

Intel makes Meteor Lake chips on Intel 4 which is around TSMC 4-5nm in terms of density (hence the name)

Intels 20A factory in germany should be up and running, I guess we will see if Arrow Lake will use 20A or not later this year, might be Intel 4

Also, Intel reserving and taking up space at TSMC is bad for AMD, probably part of the plan too. Apple, Nvidia and now Intel all have priority over AMD at TSMC. AMD always use the older nodes because they are cheaper. Apple always have top priority at TSMC tho. Without Apple, TSMC would not be in the lead. Apple put billions upon billions in TSMCs pockets over the years.

I expect Intel to regain leadership in the coming years tho and they will open up their foundry business. Maybe AMD can get their chips made at Intel LOL
 
Last edited:
Joined
Dec 12, 2016
Messages
1,314 (0.48/day)
Intel uses TSMC for the GPU tile only AFAIK

Intel makes Meteor Lake chips on Intel 4 which is around TSMC 4-5nm in terms of density (hence the name)

Intels 20A factory in germany should be up and running, I guess we will see if Arrow Lake will use 20A or not later this year, might be Intel 4

Also, Intel reserving and taking up space at TSMC is bad for AMD, probably part of the plan too. Apple, Nvidia and now Intel all have priority over AMD at TSMC. AMD always use the older nodes because they are cheaper. Apple always have top priority at TSMC tho. Without Apple, TSMC would not be in the lead. Apple put billions upon billions in TSMCs pockets over the years.

I expect Intel to regain leadership in the coming years tho and they will open up their foundry business. Maybe AMD can get their chips made at Intel LOL
It was recently disclosed that Intel is using TSMC for the CPU tile in Arrow Lake and not Intel 20A.

 
Joined
Aug 18, 2022
Messages
317 (0.49/day)
It was recently disclosed that Intel is using TSMC for the CPU tile in Arrow Lake and not Intel 20A.

I would not read it that way. Intel has always said that the Arrow Lake CPU tile will be on 20A (see graphic below), what the other tiles would be fabricated on was not stated at the time. They are now confirmed as being on TSMC 3nm.

intelarrowlake.png
 
Joined
Dec 12, 2016
Messages
1,314 (0.48/day)
I would not read it that way. Intel has officially indicated that the Arrow Lake CPU tile will be on 20A (see graphic below), what the other tiles would be fabricated on was not stated at the time. They are now confirmed as being on TSMC 3nm.

View attachment 336824
From the TPU article in the link above:

“According to a China Times news article: "Gelsinger also confirmed the expansion of orders to TSMC, confirming that TSMC will hold orders for Intel's Arrow and Lunar Lake CPU, GPU, and NPU chips this year, and will produce them using the N3B process.”

“Past leaks have indicated that Intel's Arrow Lake processor family will have CPU tiles based on their in-house 20A process, while TSMC takes care of the GPU tile aspect with their 3 nm N3 process node.”
 
Joined
Jan 29, 2023
Messages
837 (1.74/day)
System Name KLM
Processor 7800X3D
Motherboard B-650E-E Strix
Cooling Arctic Cooling III 280
Memory 16x2 Fury Renegade 6000-32
Video Card(s) 4070-ti PNY
Storage 512+512+1+2+2+2+2+6+500+256+4+4+4
Display(s) VA 32" 4K@60 - OLED 27" 2K@240
Case 4000D Airflow
Audio Device(s) Edifier 1280Ts
Power Supply Shift 1000
Mouse 502 Hero
Keyboard K68
Software EMDB
Benchmark Scores 0>1000
If intel reaches 1nm, so AMD would go fast 0.xxx !!
 
Joined
Aug 10, 2020
Messages
102 (0.07/day)
These type of posts are meaningless when Intel HEDT is still many years behind the competion. Apple M3 chips is on TSMC 3nm, AMD Zen 4 is on TSMC 5nm, meanwhile Intel 14th gen using 'Intel 7' node is 5 years behind in lithography and performance per watt. Even if their core design is decent, they simply can't compete being this far behind in lithography.
 
Joined
Nov 11, 2020
Messages
429 (0.33/day)
Location
Earth, Solar System
Processor AMD Ryzen 7 5700X
Motherboard Asus TUF Gaming B550M-Plus (Wi-Fi)
Cooling Thermalright PA120 SE; Arctic P12, F12
Memory Crucial BL8G32C16U4W.M8FE1 ×2
Video Card(s) Sapphire Nitro+ RX 6600 XT
Storage Kingston SKC3000D/2048G; Samsung MZVLB1T0HBLR-000L2; Seagate ST1000DM010-2EP102
Display(s) AOC 24G2W1G4
Case Sama MiCube
Audio Device(s) Somic G923
Power Supply EVGA 650 GD
Mouse Logitech G102
Keyboard Logitech K845 TTC Brown
Software Windows 10 Pro 1903, Dism++, CCleaner
Benchmark Scores CPU-Z 17.01.64: 3700X @ 4.6 GHz 1.3375 V scoring 557/6206; 760K @ 5 GHz 1.5 V scoring 292/964
There's recently been a lot of news on Intel process node... but they'd better make it happen rather than talking about it in all kinds of slides.
 

MxPhenom 216

ASIC Engineer
Joined
Aug 31, 2010
Messages
12,945 (2.58/day)
Location
Loveland, CO
System Name Ryzen Reflection
Processor AMD Ryzen 9 5900x
Motherboard Gigabyte X570S Aorus Master
Cooling 2x EK PE360 | TechN AM4 AMD Block Black | EK Quantum Vector Trinity GPU Nickel + Plexi
Memory Teamgroup T-Force Xtreem 2x16GB B-Die 3600 @ 14-14-14-28-42-288-2T 1.45v
Video Card(s) Zotac AMP HoloBlack RTX 3080Ti 12G | 950mV 1950Mhz
Storage WD SN850 500GB (OS) | Samsung 980 Pro 1TB (Games_1) | Samsung 970 Evo 1TB (Games_2)
Display(s) Asus XG27AQM 240Hz G-Sync Fast-IPS | Gigabyte M27Q-P 165Hz 1440P IPS | Asus 24" IPS (portrait mode)
Case Lian Li PC-011D XL | Custom cables by Cablemodz
Audio Device(s) FiiO K7 | Sennheiser HD650 + Beyerdynamic FOX Mic
Power Supply Seasonic Prime Ultra Platinum 850
Mouse Razer Viper v2 Pro
Keyboard Razer Huntsman Tournament Edition
Software Windows 11 Pro 64-Bit
These type of posts are meaningless when Intel HEDT is still many years behind the competion. Apple M3 chips is on TSMC 3nm, AMD Zen 4 is on TSMC 5nm, meanwhile Intel 14th gen using 'Intel 7' node is 5 years behind in lithography and performance per watt. Even if their core design is decent, they simply can't compete being this far behind in lithography.

Intel will be using the same lithography for sub Intel 7 geometries as TSMC has been using for their 3-7nm. They are not as far behind as you think. Lithography is the technology (ie EUV) used for patterning step of fabricating. Transitioning to EUV is basically mandatory to keep improving density. All major fabs have basically moved to EUV for sub 7nm geos.

For the record, Intel 7 has a smaller fin pitch than TSMC 7 even though its channel length (where traditionally transistors get their sizes) is longer. Intel 7 is also comparable in density as TSMC 7 because the fin pitch is less. Kind of why they call it Intel 7. It used to be their Superfin 10, but recent improvements too it makes it their 7. Its just kind of bad perf/watt.

Basically, saying something is Xnm doesnt mean shit these days due to transistor structures being very different than they used to be and largely marketting now. Transistors can be the same size from say TSMC 7 to TSMC 6, but to make it TSMC 6 they just say its density is improved, perf/watt is better, area better but transistors themselves didnt change. There could even be another flavor of cell that has different VT characteristics added to the library.
 
Last edited:
Joined
Jan 3, 2021
Messages
2,781 (2.25/day)
Location
Slovenia
Processor i5-6600K
Motherboard Asus Z170A
Cooling some cheap Cooler Master Hyper 103 or similar
Memory 16GB DDR4-2400
Video Card(s) IGP
Storage Samsung 850 EVO 250GB
Display(s) 2x Oldell 24" 1920x1200
Case Bitfenix Nova white windowless non-mesh
Audio Device(s) E-mu 1212m PCI
Power Supply Seasonic G-360
Mouse Logitech Marble trackball, never had a mouse
Keyboard Key Tronic KT2000, no Win key because 1994
Software Oldwin
I am not getting into that pedantic debate..
Right now, in 2024, it's enough to know the transistors are more than 500 times thinner than a human hair.

But it's not too early for a pedantic debate about what "unveiled" (TPU) or "put on the roadmap" (Tom's) means...

@btarunr The link to Tom's doesn't work, it's truncated by one character.
 
Joined
May 3, 2018
Messages
2,373 (1.07/day)
So we only know the road map up to end of 2026 for Intel and that ends with Nova Lake using 18A. We don't know what comes in 2027 but that would surely be on 14A and I'm guessing 2028 at earliest for 10A products.

No company uses ‘nm’ in the naming anymore. Intel names are Intel 4, Intel 3, Intel 20A, etc. TSMC uses N3, N3E, N3X, etc. Samsung uses 3GAE, 3GAP, etc.

And you can ‘see it’ with a scanning electron microscope (SEM) just like you can see the rings of Saturn with a telescope. SEM allows you to measure the smallest feature sizes. Its not magic. Its science.
And yet Samsung and TSMC refer to 3GAE/N3 as 3nm class node. Intel is using A for Angstrom, they could have called their nodes anything and not tried to imply a feature size. All of them are using bogus naming including the likes of ASML.
 
Joined
Jul 5, 2013
Messages
25,672 (6.46/day)
But it's not too early for a pedantic debate about what "unveiled" (TPU) or "put on the roadmap" (Tom's) means...
I would normally agree with you, It's always a good thing to flesh out information so that minds can be enlightened. However, this particular debate has taken place a number of times and each time ending in the ignorant claiming to have won the debate when in reality they just end up making themselves look like poo-flingers screaming like banshees whilst claiming they had done the same to those arguing factual data and science. This one is not worth the effort as the subject matter is very complex & nuanced and the finer points are often misunderstood or ignored altogether.
 
Top