News Posts matching #NPU

Return to Keyword Browsing

Acer Debuts AI-Ready Swift Go 14 Laptop with New Intel Core Ultra Processors and OLED Display

Acer today announced new models of the AI-ready Acer Swift Go 14 (SFG14-72) powered by Intel Core Ultra processors that feature Intel Arc graphics processing unit (GPU) and Intel AI Boost, its new integrated neural processing unit (NPU), to deliver efficient computing performance of AI workloads and immersive experiences on the thin-and-light laptop. Students, professionals, and creators can leverage the Swift Go 14's array of AI features such as Acer PurifiedVoice and Acer PurifiedView for videoconferencing and customization tools on the OLED laptop. Accomplishing tasks and workflows are also made easier on the Swift laptop with Microsoft's Copilot in Windows 11.

"Our new Swift Go 14 goes beyond its stylish design and high-resolution display, delivering the latest suite of collaboration technology to support a wide variety of functions and lifestyles," said James Lin, General Manager, Notebooks, Acer Inc. "The Swift Go 14 is one of the first devices in the market to be outfitted with Intel Core Ultra processors, paving the way to enhance support of generative AI tasks on more Acer devices moving forward."

AMD Ryzen 8040 Series "Hawk Point" Mobile Processors Announced with a Faster NPU

AMD today announced the new Ryzen 8040 mobile processor series codenamed "Hawk Point." These chips are shipping to notebook manufacturers now, and the first notebooks powered by these should be available to consumers in Q1-2024. At the heart of this processor is a significantly faster neural processing unit (NPU), designed to accelerate AI applications that will become relevant next year, as Microsoft prepares to launch Windows 12, and software vendors make greater use of generative AI in consumer applications.

The Ryzen 8040 "Hawk Point" processor is almost identical in design and features to the Ryzen 7040 "Phoenix," except for a faster Ryzen AI NPU. While this is based on the same first-generation XDNA architecture, its NPU performance has been increased to 16 TOPS, compared to 10 TOPS of the NPU on the "Phoenix" silicon. AMD is taking a whole-of-silicon approach to AI acceleration, which includes not just the NPU, but also the "Zen 4" CPU cores that support the AVX-512 VNNI instruction set that's relevant to AI; and the iGPU based on the RDNA 3 graphics architecture, with each of its compute unit featuring two AI accelerators, components that make the SIMD cores crunch matrix math. The whole-of-silicon performance figures for "Phoenix" is 33 TOPS; while "Hawk Point" boasts of 39 TOPS. In benchmarks by AMD, "Hawk Point" is shown delivering a 40% improvement in vision models, and Llama 2, over the Ryzen 7040 "Phoenix" series.

Acer Commits to Carbon Neutrality for Vero Laptop Line

Acer today shared its commitment to carbon neutrality for its Aspire Vero laptop line, starting from the new Aspire Vero 16 (AV16-51P). Following international standards for carbon footprint calculation and carbon neutrality, actions are taken at each stage of the device lifecycle to minimize its carbon footprint, and then, high-quality carbon credits will be applied to attain carbon neutrality.

"To help tackle the increasing challenges posed by climate change, on the product side, Acer is proposing 'conscious technology' designed and made with consideration for the future," said Jerry Kao, COO, Acer Inc. "On the corporate side, Acer has joined the RE100 initiative and committed to achieving 100% renewable electricity by 2035. We have also pledged to achieve net-zero emissions by 2050."

Intel Lunar Lake-MX SoC with On-Package LPDDR5X Memory Detailed

With the reality of high performance Arm processors from Apple and Qualcomm threatening Intel's market share in the client computing space, Intel is working on learner more PCB-efficient client SoCs that can take the fight to them, while holding onto the foundations of x86. The first such form-factor of processors are dubbed -MX. These are essentially -U segment processors with memory on package, to minimize PCB footprint. Intel has fully integrated the PCH into the processor chip with "Meteor Lake," with PCH functions scattered across the SoC and I/O tiles of the processor. An SoC package with dimensions similar to those of -UP4 packages meant for ultrabooks, can now cram main memory, so the PCBs of next-generation notebooks can be further compacted.

Intel had recently shown Meteor Lake-MX packages to the press as a packaging technology demonstration in its Arizona facility. It's unclear whether this could release as actual products, but in a leaked company presentation, confirmed that its first commercial outing will be with Lunar Lake-MX. The current "Alder Lake-UP4" package measures 19 mm x 28.5 mm, and is a classic multi-chip module that combines a monolithic "Alder Lake" SoC die with a PCH die. The "Meteor Lake-UP4" package measures 19 mm x 23 mm, and is a chiplet-based processor, with a Foveros base tile that holds the Compute (CPU cores), Graphics (iGPU), SoC and I/O (platform core-logic) tiles. The "Lunar Lake-MX" package is slightly larger than its -UP4 predecessors, measuring 27 mm x 27.5 mm, but completely frees up space on the PCB for memory.

Qualcomm Snapdragon Elite X SoC for Laptop Leaks: 12 Cores, LPDDR5X Memory, and WiFi7

Thanks to the information from Windows Report, we have received numerous details regarding Qualcomm's upcoming Snapdragon Elite X chip for laptops. The Snapdragon Elite X SoC is built on top of Nuvia-derived Oryon cores, which Qualcomm put 12 off in the SoC. While we don't know their base frequencies, the all-core boost reaches 3.8 GHz. The SoC can reach up to 4.3 GHz on single and dual-core boosting. However, the slide notes that this is all pure "big" core configuration of the SoC, so no big.LITTLE design is done. The GPU part of Snapdragon Elite X is still based on Qualcomm's Adreno IP; however, the performance figures are up significantly to reach 4.6 TeraFLOPS of supposedly FP32 single-precision power. Accompanying the CPU and GPU, there are dedicated AI and image processing accelerators, like Hexagon Neural Processing Unit (NPU), which can process 45 trillion operations per second (TOPS). For the camera, the Spectra Image Sensor Processor (ISP) is there to support up to 4K HDR video capture on a dual 36 MP or a single 64 MP camera setup.

The SoC supports LPDDR5X memory running at 8533 MT/s and a maximum capacity of 64 GB. Apparently, the memory controller is an 8-channel one with a 16-bit width and a maximum bandwidth of 136 GB/s. Snapdragon Elite X has PCIe 4.0 and supports UFS 4.0 for outside connection. All of this is packed on a die manufactured by TSMC on a 4 nm node. In addition to marketing excellent performance compared to x86 solutions, Qualcomm also advertises the SoC as power efficient. The slide notes that it uses 1/3 of the power at the same peak PC performance of x86 offerings. It is also interesting to note that the package will support WiFi7 and Bluetooth 5.4. Officially coming in 2024, the Snapdragon Elite X will have to compete with Intel's Meteor Lake and/or Arrow Lake, in addition to AMD Strix Point.

Gigabyte Announces AI Strategy for Consumer Products to Map the Future of AI

GIGABYTE, a leader in cloud computing and AI server markets, announced a new strategic framework for AI outlining a blueprint for the company's direction in the AI-driven future of the consumer PC market. The framework features three fundamental pillars: offering a comprehensive AI operating platform, implementing AI-based product design, and engaging in the AI ecosystem with the goal of introducing consumers to a new AI-driven experience.

Providing a comprehensive AI operating platform to meet all-end computing applications
GIGABYTE's AI operating platform caters to all-end computing applications, spanning from the cloud to the edge. In the cloud, GIGABYTE's AI servers deliver robust computing power for demanding AI workloads, encompassing generative AI services and machine learning applications like ChatGPT. At the edge, GIGABYTE's consumer products, such as high-performance graphics cards and gaming laptops, furnish users with instant and reliable AI computing power for a diverse array of applications, ranging from real-time video processing to AI-driven gaming. In scenarios involving AI collaboration systems like Microsoft Copilot, GIGABYTE offers a power-saving, secure, and user-friendly AI operating platform explicitly engineered for the next-generation AI processors like NPUs.

Qualcomm Oryon PC SoC to be Rebranded as "Snapdragon X"

Qualcomm is poised to significantly rebrand its PC chip lineup as it transitions from the existing 8cx series to the Snapdragon X Series, designed to differentiate its PC chips from Snapdragon processors in mobile devices. The new Snapdragon X Series will incorporate Qualcomm's Oryon CPU SKU, based on Nuvia's IP and praised for its advanced performance and power efficiency. In addition to the new CPU core, Qualcomm also plans to use a dedicated NPU for accelerating on-device AI applications. However, questions remain regarding the reactions of hardware partners, particularly in response to Qualcomm's request for proprietary power management integrated circuits (PMICs) to be used alongside Oryon SoCs.

This strategic rebranding also entails new logos and badges for the system, symbolizing the shift in the product lineup, and the company plans to introduce a simplified tiering structure for its PC ecosystem. Qualcomm currently holds a dominant position as an Arm-based SoC manufacturer for Windows-on-Arm devices. With this rebranding, Qualcomm hopes to position itself competitively in performance and in marketing as well, with established PC chip providers like AMD and Intel, potentially expanding Arm's market share in the PC industry. Further insights and details regarding the Snapdragon X Series will be revealed during the forthcoming Snapdragon Summit, scheduled from October 24 to 26.

Similarities Noticed in Arrow Lake & Meteor Lake NPU Drivers

Team Blue's marketing team has kicked into hype mode—Meteor Lake is launching at the tail end of 2023—with a shift in naming conventions comes another rebranding of internal technologies. The previously identified versatile processing unit (VPU) is now going under a different moniker: "Intel will usher in the age of the AI PC with the upcoming Intel Core Ultra processors, code-named Meteor Lake, featuring Intel's first integrated neural processing unit, or NPU, for power-efficient AI acceleration and local inference on the PC. Intel confirmed Core Ultra will launch Dec. 14." Michael Larabel, principal author at Phoronix, has noticed that newly updated versions of the Linux kernel have the "IVPU" accelerator driver bundled in. This will: "support Meteor Lake's VPU/NPU, while a patch is pending to extend that support for next-generation Arrow Lake processors."

In his opinion, there are some shared aspects across the two CPU generations: "Intel's Arrow Lake NPU appears very similar to that of Meteor Lake with the driver enablement coming down to adding new PCI IDs...(with) this patch adding the new Arrow Lake PCI IDs to the existing Meteor Lake driver code paths for this DRM accelerator driver is all that's needed to enable the VPU." Team Blue jumped two generations ahead at this week's Innovation event—Lunar Lake showed up in a live demo. Larabel believes that this late 2024 CPU family will revolve the dial to a greater degree: "The VPU/NPU with Lunar Lake brings more significant changes with the open-source driver changes already underway there. With Lunar Lake is the new VPU4 IP and the Linux 6.6 kernel with its updated IVPU driver already has initial support there."

Intel Demoes Core "Lunar Lake" Processor from Two Generations Ahead

Intel at the 2023 InnovatiON event surprised audiences with a live demo of a reference notebook powered by a Core "Lunar Lake" processor. What's surprising about this is that "Lunar Lake" won't come out until 2025 (at least), and succeeds not just the upcoming "Meteor Lake" architecture, but also its succeeding "Arrow Lake," which debuts in 2024. Intel is expected to debut "Meteor Lake" some time later this year. What's also surprising is that Intel has proven that the Intel 18A foundry node works. The Compute tile of "Lunar Lake" is expected to be based on Intel 18A, which is four generations ahead of the current Intel 7, which will be succeeded by Intel 4, Intel 3, and Intel 20A along the way.

The demo focused on the generative AI capabilities of Intel's third generation NPU, the hardware backend of AI Boost. Using a local session of a tool similar to Stable Diffusion, the processor was made to generate the image of a giraffe wearing a hat; and a GPT program was made to pen the lyrics of a song in the genre of Taylor Swift from scratch. Both tasks were completed on stage using the chip's NPU, and in timeframes you'd normally expect from discrete AI accelerators or cloud-based services.

Intel Innovation 2023: Bringing AI Everywhere

As the world experiences a generational shift to artificial intelligence, each of us is participating in a new era of global expansion enabled by silicon. It's the "Siliconomy," where systems powered by AI are imbued with autonomy and agency, assisting us across both knowledge-based and physical-based tasks as part of our everyday environments.

At Intel Innovation, the company unveiled technologies to bring AI everywhere and to make it more accessible across all workloads - from client and edge to network and cloud. These include easy access to AI solutions in the cloud, better price performance for Intel data center AI accelerators than the competition offers, tens of millions of new AI-enabled Intel PCs shipping in 2024 and tools for securely powering AI deployments at the edge.

DEEPX Charts Path to a Limitless Open Edge AI Ecosystem with New AI Dev Kits that Break GPU Boundaries

DEEPX, a leading AI semiconductor technology company makes significant advancements towards creating an expansive Edge AI ecosystem by introducing innovative Edge AI Development Kits that transcend the limitations of GPUs. The company, known for its pioneering work in artificial intelligence semiconductors for Edge devices, is gearing up to participate in the AI Hardware & Edge AI Summit in Silicon Valley, set to run from September 12th to 14th.

At this prestigious event, DEEPX's CEO Lokwon Kim will share the stage with luminaries like Professor Andrew Ng of Landing AI and Tenstorrent CEO Jim Keller. More than 100 major tech companies, including Microsoft, Google, Intel, AMD, and Qualcomm, will converge to discuss the latest trends and insights in AI hardware and edge AI.

Strong Cloud AI Server Demand Propels NVIDIA's FY2Q24 Data Center Business to Surpass 76% for the First Time

NVIDIA's latest financial report for FY2Q24 reveals that its data center business reached US$10.32 billion—a QoQ growth of 141% and YoY increase of 171%. The company remains optimistic about its future growth. TrendForce believes that the primary driver behind NVIDIA's robust revenue growth stems from its data center's AI server-related solutions. Key products include AI-accelerated GPUs and AI server HGX reference architecture, which serve as the foundational AI infrastructure for large data centers.

TrendForce further anticipates that NVIDIA will integrate its software and hardware resources. Utilizing a refined approach, NVIDIA will align its high-end, mid-tier, and entry-level GPU AI accelerator chips with various ODMs and OEMs, establishing a collaborative system certification model. Beyond accelerating the deployment of CSP cloud AI server infrastructures, NVIDIA is also partnering with entities like VMware on solutions including the Private AI Foundation. This strategy extends NVIDIA's reach into the edge enterprise AI server market, underpinning steady growth in its data center business for the next two years.

QNAP Announces the ARM-based AI NAS- TS-AI642 with 6 TOPS NPU

QNAP Systems, Inc., a leading computing, networking and storage solution innovator, today unveiled the new-generation AI NAS TS-AI642. Adopting an ARM 8-core processor with 6 TOPS NPU, the TS-AI642 is perfect for AI image recognition and smart surveillance applications. Achieving both high performance and power-efficiency with 2.5 GbE connectivity, 10GbE expandability via PCIe and dual 4K HDMI output, the TS-AI642 fulfills the image storage, backup, and video surveillance demands of SMBs.

"According to professional technology media and global technology firms, 2023 is the year of Edge AI. Research shows that companies and organizations are leveraging more efficient and budget-friendly Edge AI solutions due to the market and economic uncertainty." said Jerry Deng, Product Manager of QNAP. "The QNAP TS-AI642 is the first ARM-based AI NAS on the market. Incorporating NPU for boosting AI image recognition performance with a low power design, the TS-AI642 is a cost-optimized entry-level ARM-based AI image storage and Surveillance NAS for SMBs."
Return to Keyword Browsing
May 21st, 2024 00:21 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts