• Welcome to TechPowerUp Forums, Guest! Please check out our forum guidelines for info related to our community.

Samsung and TSMC Reportedly Struggling with 3 nm Yields

Joined
Nov 26, 2021
Messages
1,380 (1.49/day)
Location
Mississauga, Canada
Processor Ryzen 7 5700X
Motherboard ASUS TUF Gaming X570-PRO (WiFi 6)
Cooling Noctua NH-C14S (two fans)
Memory 2x16GB DDR4 3200
Video Card(s) Reference Vega 64
Storage Intel 665p 1TB, WD Black SN850X 2TB, Crucial MX300 1TB SATA, Samsung 830 256 GB SATA
Display(s) Nixeus NX-EDG27, and Samsung S23A700
Case Fractal Design R5
Power Supply Seasonic PRIME TITANIUM 850W
Mouse Logitech
VR HMD Oculus Rift
Software Windows 11 Pro, and Ubuntu 20.04
Your whole argument is that we should still be on 3000 nm because it would definitely be cheaper to make. Got it. Never innovate, never change, never improve, that's how to stay competitive. I've often said intel was a successful company when they completely stopped innovating.

Not like you could shrink nodes or use chiplets and over time they would outweigh the profit of older architectures. Of course not that would be ridiculous. Must be why cell phones that used to cost $500 can be bought at 711 for 20 bucks.

You can make excuses and arguments and spend your entire pay check on Intel every week, and it wouldn't make a difference. Because where the money actually is in the server market, they are inferior and nowhere to be seen. Too few cores because of their architecture, too little efficiency because of bad design. Period, full stop, stock price reflects it perfect.
Good God, man! That isn't my argument. I only said that older nodes are more profitable. Of course, newer nodes are necessary to keep the industry moving forward. In time, they will also become more profitable as the initial investment is paid off.
 
Joined
Dec 21, 2022
Messages
124 (0.23/day)
Good God, man! That isn't my argument. I only said that older nodes are more profitable. Of course, newer nodes are necessary to keep the industry moving forward. In time, they will also become more profitable as the initial investment is paid off.
They clearly arent, intels revenue is decreasing.

It's a bad business strategy and they haven't done so poorly in a very long time.

You keep ignoring the real customers, server farms. None of those people want big node chips.

Like I said, 11% share of their declining revenue is a band aid to stop the bleeding, it isnt success by any measure.

Don't even get me started on the covid excuse, like every single chip company didnt deal with that and make record profits, which intel didnt funnel into innovating cpus, they chose to make gpus instead.
 
Last edited:
Joined
Nov 26, 2021
Messages
1,380 (1.49/day)
Location
Mississauga, Canada
Processor Ryzen 7 5700X
Motherboard ASUS TUF Gaming X570-PRO (WiFi 6)
Cooling Noctua NH-C14S (two fans)
Memory 2x16GB DDR4 3200
Video Card(s) Reference Vega 64
Storage Intel 665p 1TB, WD Black SN850X 2TB, Crucial MX300 1TB SATA, Samsung 830 256 GB SATA
Display(s) Nixeus NX-EDG27, and Samsung S23A700
Case Fractal Design R5
Power Supply Seasonic PRIME TITANIUM 850W
Mouse Logitech
VR HMD Oculus Rift
Software Windows 11 Pro, and Ubuntu 20.04
They clearly arent, intels revenue is decreasing.

It's a bad business strategy and they haven't done so poorly in a very long time.

You keep ignoring the real customers, server farms. None of those people want big node chips.

Like I said, 11% share of their declining revenue is a band aid to stop the bleeding, it isnt success by any measure.
I think we're talking past each other. Intel isn't a good example as almost all of their revenue derives from leading edge nodes. I agree that a foundry needs to be at the leading edge to be considered successful. Despite that, there are plenty of foundries that do well while sticking to older nodes.
 
Joined
Dec 21, 2022
Messages
124 (0.23/day)
I think we're talking past each other. Intel isn't a good example as almost all of their revenue derives from leading edge nodes. I agree that a foundry needs to be at the leading edge to be considered successful. Despite that, there are plenty of foundries that do well while sticking to older nodes.
What about intel is leading edge? They are worse than amd and apple. Those other foundries are producing chinese and russia knock offs.
 
Joined
Nov 26, 2021
Messages
1,380 (1.49/day)
Location
Mississauga, Canada
Processor Ryzen 7 5700X
Motherboard ASUS TUF Gaming X570-PRO (WiFi 6)
Cooling Noctua NH-C14S (two fans)
Memory 2x16GB DDR4 3200
Video Card(s) Reference Vega 64
Storage Intel 665p 1TB, WD Black SN850X 2TB, Crucial MX300 1TB SATA, Samsung 830 256 GB SATA
Display(s) Nixeus NX-EDG27, and Samsung S23A700
Case Fractal Design R5
Power Supply Seasonic PRIME TITANIUM 850W
Mouse Logitech
VR HMD Oculus Rift
Software Windows 11 Pro, and Ubuntu 20.04
What about intel is leading edge? They are worse than amd and apple. Those other foundries are producing chinese and russia knock offs.
Intel used to be the only one at the leading edge for a long time. TSMC became the leader in 2018 when they started mass production using their N7 process. I wouldn't discount Intel; TSMC seems to be having problems with N3B and if Intel 4 is better than TSMC's N5 in electrical characteristics as well, then that might be enough.
 
Joined
Dec 21, 2022
Messages
124 (0.23/day)
Intel used to be the only one at the leading edge for a long time. TSMC became the leader in 2018 when they started mass production using their N7 process. I wouldn't discount Intel; TSMC seems to be having problems with N3B and if Intel 4 is better than TSMC's N5 in electrical characteristics as well, then that might be enough.
I'd have a lot more confidence personally if they either dropped or doubled down on affordable gpu's, but for now I have sold my stock to protect retirement and jumped on the nvidia/amd train. Years of poor decision making have devastated this company.

3 CEO's in the past 5 years spells it all out. I can recognize a dumpster fire when I see one, no leadership at all, peoplewith insider knowledge jumping ship... Sounds like twitter, unity, meta, twitch, you pick.
 
Joined
Mar 6, 2018
Messages
121 (0.05/day)
Intel used to be the only one at the leading edge for a long time. TSMC became the leader in 2018 when they started mass production using their N7 process. I wouldn't discount Intel; TSMC seems to be having problems with N3B and if Intel 4 is better than TSMC's N5 in electrical characteristics as well, then that might be enough.
That's not enough.

Because Intel 4 is not in mass production while TSMC N5 has been in mass production for 2 years.
 
Joined
Dec 26, 2006
Messages
3,593 (0.56/day)
Location
Northern Ontario Canada
Processor Ryzen 5700x
Motherboard Gigabyte X570S Aero G R1.1 BiosF5g
Cooling Noctua NH-C12P SE14 w/ NF-A15 HS-PWM Fan 1500rpm
Memory Micron DDR4-3200 2x32GB D.S. D.R. (CT2K32G4DFD832A)
Video Card(s) AMD RX 6800 - Asus Tuf
Storage Kingston KC3000 1TB & 2TB & 4TB Corsair LPX
Display(s) LG 27UL550-W (27" 4k)
Case Be Quiet Pure Base 600 (no window)
Audio Device(s) Realtek ALC1220-VB
Power Supply SuperFlower Leadex V Gold Pro 850W ATX Ver2.52
Mouse Mionix Naos Pro
Keyboard Corsair Strafe with browns
Software W10 22H2 Pro x64
Great high prices :banghead: I was listening to an nVidia representative, blaming node prices for doubling the price for 4080 forgetting that the 4080 has a tiny die they basically tripled the price.

Forgot what happened to Intel back then, they were way ahead of everyone then got stuck with 14nm.
Hmmm. I think nvidia puts good margins on things as well. Just look at their profits.
 
Joined
Nov 26, 2021
Messages
1,380 (1.49/day)
Location
Mississauga, Canada
Processor Ryzen 7 5700X
Motherboard ASUS TUF Gaming X570-PRO (WiFi 6)
Cooling Noctua NH-C14S (two fans)
Memory 2x16GB DDR4 3200
Video Card(s) Reference Vega 64
Storage Intel 665p 1TB, WD Black SN850X 2TB, Crucial MX300 1TB SATA, Samsung 830 256 GB SATA
Display(s) Nixeus NX-EDG27, and Samsung S23A700
Case Fractal Design R5
Power Supply Seasonic PRIME TITANIUM 850W
Mouse Logitech
VR HMD Oculus Rift
Software Windows 11 Pro, and Ubuntu 20.04
That's not enough.

Because Intel 4 is not in mass production while TSMC N5 has been in mass production for 2 years.
That's true, but Intel 4 is denser than TSMC's N5. If Intel is able to manufacture products with it with good yields before TSMC gets N3 working well, then Intel could be considered to have returned to the leading edge. Of course, given Intel's recent history, this is a big if.
 
Joined
Jun 14, 2023
Messages
40 (0.11/day)
*eats popcorn*

I can't explain enough how much fun it is to watch a large group of media outlets, bought-out by money, continue to spread disinformation while Intel continues to drop competitive product.

Two years ago:

From: https://arstechnica.com/gadgets/202...map-lays-out-the-post-nanometer-angstrom-era/:

"Gone are the days of "Intel 10nm Enhanced Super Fin"—instead, the node is called "Intel 7." It should have a comparable density to the TSMC and Samsung 7 nm nodes and will be ready for production in Q1 2022 (TSMC and Samsung are currently shipping "5nm" products). "Intel 4"—which Intel previously called "7nm"—is now said to be equivalent to TSMC and Samsung's 4 nm node, and it will begin manufacturing products in 2023."

Two years later... Play it again tech bros! It must be working by now.. ;)

What happened to overclockers.com? Where's Tom? Where's Anand?

*winks*
 
Joined
Dec 14, 2011
Messages
946 (0.21/day)
Location
South-Africa
Processor AMD Ryzen 9 5900X
Motherboard ASUS ROG STRIX B550-F GAMING (WI-FI)
Cooling Corsair iCUE H115i Elite Capellix 280mm
Memory 32GB G.Skill DDR4 3600Mhz CL18
Video Card(s) ASUS RTX 3070 Ti TUF Gaming OC Edition
Storage Sabrent Rocket 1TB M.2
Display(s) Dell S3220DGF
Case Corsair iCUE 4000X
Audio Device(s) ASUS Xonar D2X
Power Supply Corsair AX760 Platinum
Mouse Razer DeathAdder V2 - Wireless
Keyboard Corsair K70 MK.2 Low-Profile Rapidfire
Software Microsoft Windows 11 Pro (64-bit)
Why do they think so 2 dimensional when creating these? /shrug
 

ARF

Joined
Jan 28, 2020
Messages
4,169 (2.61/day)
Location
Ex-usa | slava the trolls
Intel 3 isn't "3nm", Just like the soon to be released MTL Intel 4 are not "4nm". It's an optimized "7nm" that going to be comparable to TSMC 3nm. The current Intel 10nm is called intel 7 because it's equivalent to TSMC 7nm.
Nodes names are a marketing name everywhere, ever since fabs engineers figured out that at some point simply making things smaller would bring a bunch of issues. TSMC 3nm isn't actually 3nm, but is as performant as a real 3nm would be in theory. Intel choose to use a "TSMC rating system" to avoid people thinking that their nodes are inferior because the number is bigger.

TSMC doesn't use A. So, what is Intel 20A or 18A compared to TSMC ?
I think intel chose to lie and mislead.


Apple is only paying TSMC for working dies so this isn't working out well for TSMC so far.

Yes, that's why Apple and the others can ask for lower wafers prices because of the terrible yields !
 
Joined
Oct 28, 2012
Messages
1,159 (0.27/day)
Processor AMD Ryzen 3700x
Motherboard asus ROG Strix B-350I Gaming
Cooling Deepcool LS520 SE
Memory crucial ballistix 32Gb DDR4
Video Card(s) RTX 3070 FE
Storage WD sn550 1To/WD ssd sata 1To /WD black sn750 1To/Seagate 2To/WD book 4 To back-up
Display(s) LG GL850
Case Dan A4 H2O
Audio Device(s) sennheiser HD58X
Power Supply Corsair SF600
Mouse MX master 3
Keyboard Master Key Mx
Software win 11 pro
TSMC doesn't use A. So, what is Intel 20A or 18A compared to TSMC ?
I think intel chose to lie and mislead.
20 angstrom = 2 nanometers, 18 angstrom = 1,8 nanometers. angstrom is a real unit of measurement that's just going to be easier to use at some point when nanometers are going to use decimals. This also coincide with the node where Intel is allegedly going to take the leadership back, so them using angstrom before anyone else is a marketing tactics to signify their leadership. But it's still based on TSMC process.

Did you read the article that you linked to me? ? the author is saying that everyone in the game is being dishonest, and Intel renaming will just prompt the other foundries to rename their process just for the sake of making Intel looks bad.


1696704479719.png

1696704401795.png
 
  • Haha
Reactions: ARF
Joined
Feb 18, 2005
Messages
5,387 (0.76/day)
Location
Ikenai borderline!
System Name Firelance.
Processor Threadripper 3960X
Motherboard ROG Strix TRX40-E Gaming
Cooling IceGem 360 + 6x Arctic Cooling P12
Memory 8x 16GB Patriot Viper DDR4-3200 CL16
Video Card(s) MSI GeForce RTX 4060 Ti Ventus 2X OC
Storage 2TB WD SN850X (boot), 4TB Crucial P3 (data)
Display(s) 3x AOC Q32E2N (32" 2560x1440 75Hz)
Case Enthoo Pro II Server Edition (Closed Panel) + 6 fans
Power Supply Fractal Design Ion+ 2 Platinum 760W
Mouse Logitech G602
Keyboard Logitech G613
Software Windows 10 Professional x64
If TSMC is struggling, everyone is going to struggle. And I very seriously doubt Samsung has been able to leapfrog the acknowledged leader in fabrication tech.

As for Intel... fool me once, shame on you; fool me twice, shame on recycling 14nm for half a decade.
 

ARF

Joined
Jan 28, 2020
Messages
4,169 (2.61/day)
Location
Ex-usa | slava the trolls
20 angstrom = 2 nanometers, 18 angstrom = 1,8 nanometers. angstrom is a real unit of measurement that's just going to be easier to use at some point when nanometers are going to use decimals.

This is absolute nonsense, because there are no decimals in the reality, as said by the same article, that I read before you knowing about it :D

1696709607830.png
 
Joined
Oct 28, 2012
Messages
1,159 (0.27/day)
Processor AMD Ryzen 3700x
Motherboard asus ROG Strix B-350I Gaming
Cooling Deepcool LS520 SE
Memory crucial ballistix 32Gb DDR4
Video Card(s) RTX 3070 FE
Storage WD sn550 1To/WD ssd sata 1To /WD black sn750 1To/Seagate 2To/WD book 4 To back-up
Display(s) LG GL850
Case Dan A4 H2O
Audio Device(s) sennheiser HD58X
Power Supply Corsair SF600
Mouse MX master 3
Keyboard Master Key Mx
Software win 11 pro
This is absolute nonsense, because there are no decimals in the reality, as said by the same article, that I read before you knowing about it :D

View attachment 316604
Maybe decimal doesn't have the same meaning in french as it does in English, but 1.4nm and below is happening. I said myself that everyone is using marketing names, and not real measurement, just approximation of performance base on the theoretical gains that such a fab process could provide if it was possible. And Intel new naming scheme is based on those approximations. I don't understand what you are arguing about with me?

1696711174965.png


TSMC Said to Start Construction of 1.4 nm Fab in 2026 | TechPowerUp
Samsung Updates Foundry Roadmap: 2nm in 2025, 1.4nm in 2027 (anandtech.com)
Angstrom Definition & Meaning - Merriam-Webster
 

Attachments

  • 1696711150386.png
    1696711150386.png
    27.2 KB · Views: 24

ARF

Joined
Jan 28, 2020
Messages
4,169 (2.61/day)
Location
Ex-usa | slava the trolls
Maybe decimal doesn't have the same meaning in french as it does in English, but 1.4nm and below is happening. I said myself that everyone is using marketing names, and not real measurement, just approximation. and Intel new naming scheme is based on those approximations. I don't understand what you are arguing about with me?

TSMC doesn't use nm for its processes. Its processes use a upper case letter N and then some random digit that is not connected with the reality.
For example, N7, N5, N4, N3, N2.
I told you N7 =/ 7 nm :D
Also, intel's "20A" is around TSMC N5, not around TSMC N2.. and definitely not 2.0 nm...
 
Joined
Oct 28, 2012
Messages
1,159 (0.27/day)
Processor AMD Ryzen 3700x
Motherboard asus ROG Strix B-350I Gaming
Cooling Deepcool LS520 SE
Memory crucial ballistix 32Gb DDR4
Video Card(s) RTX 3070 FE
Storage WD sn550 1To/WD ssd sata 1To /WD black sn750 1To/Seagate 2To/WD book 4 To back-up
Display(s) LG GL850
Case Dan A4 H2O
Audio Device(s) sennheiser HD58X
Power Supply Corsair SF600
Mouse MX master 3
Keyboard Master Key Mx
Software win 11 pro
TSMC doesn't use nm for its processes. Its processes use a upper case letter N and then some random digit that is not connected with the reality.
For example, N7, N5, N4, N3, N2.
I told you N7 =/ 7 nm :D
Also, intel's "20A" is around TSMC N5, not around TSMC N2.. and definitely not 2.0 nm...
they do use 5nm, it's on their own website. N5 = 5nm. N5 is just the commercial name used for 5nm. Are you saying that you know TSMC business more than TSMC themselves?
5nm Technology - Taiwan Semiconductor Manufacturing Company Limited (tsmc.com)
1696711811162.png

1696711850585.png


1696711829647.png
 
Last edited:

ARF

Joined
Jan 28, 2020
Messages
4,169 (2.61/day)
Location
Ex-usa | slava the trolls
they do use 5nm, it's on their own website. N5 = 5nm. N5 is just the commercial name used for 5nm. Are you saying that you know TSMC business more than TSMC themselves?

I thought they used the "nm" for oversimplifying the explanations but when it comes to legal things, they use the better letter N, just in case to avoid legal disputes and class-action lawsuits.

What I am arguing is that intel lies, it can call its next process "process 0" and call it a day, forever. Won't change the fact that it will be the same process as its 10nm/intel 7.
 
Joined
Oct 28, 2012
Messages
1,159 (0.27/day)
Processor AMD Ryzen 3700x
Motherboard asus ROG Strix B-350I Gaming
Cooling Deepcool LS520 SE
Memory crucial ballistix 32Gb DDR4
Video Card(s) RTX 3070 FE
Storage WD sn550 1To/WD ssd sata 1To /WD black sn750 1To/Seagate 2To/WD book 4 To back-up
Display(s) LG GL850
Case Dan A4 H2O
Audio Device(s) sennheiser HD58X
Power Supply Corsair SF600
Mouse MX master 3
Keyboard Master Key Mx
Software win 11 pro
I thought they used the "nm" for oversimplifying the explanations but when it comes to legal things, they use the better letter N, just in case to avoid legal disputes and class-action lawsuits.

What I am arguing is that intel lies, it can call its next process "process 0" and call it a day, forever. Won't change the fact that it will be the same process as its 10nm/intel 7.
The author of that article got strong opinions about the moment where fabs stopped referencing the actual physical fab process, but they didn't exactly choose a random number. They had to use tricks in order to make up with the fact that just making everything smaller would bring a set of issues. But those tricks ended up making improvements that would be similar to reaching a lower fab process. It's explained in that video. That's the first thing to set straight, there's a logic behind the naming scheme of TSMC and Samsung. Marketers had enough common sense to not call it : "Ultranatron DX 9000", which would make no sense comparatively to the old naming scheme. 5nm is a lie, but a lie that ties it down with the old ways, and allow a point of reference.

So what Intel is doing is not worse than what the other are doing. They are playing the same game. Intel 7 nm is twice a dense as TSMC 7nm (N7), it's a full generation better than the 7nm of everybody else, and actually meant to compete with the 5nm of everybody else. Intel is adjusting to the fact that TSMC node nomenclature is what the industry revolves around now. Intel keeping their old naming scheme would do nothing besides having the occasional troll laughing at how their numbers are always behind TSMC even if they manage to catch up.

Intel having a "nm" parity with everybody else would actually mean that they would have a full generational advantage:
1696712823563.png


Yes, it's a pure marketing ploy, but you don't buy a CPU based on its nm. You look at reviews and then you make your decision. And Intel fabs clients are savvy enough to know what's up. It's not like they will try and sell an old process as a bleeding edge node. The die size of a prototype alone will show that something isn't right. nm might have lost their original meaning, but you can't cheat density.

I feel like some people here think that Alder Lake and Raptor Lake not being as efficient as AMD CPUs is a proof that intel 10nm is in fact a TSMC 10 nm...It's not the case, the architecture is just not as efficient. Do people need a reminder about maxwell being much more efficient than GCN on the same node? Or the zen 2 to zen 3 improvements on the same node?
AMD Ryzen 9 5900X Review - Power Consumption & Efficiency | TechPowerUp
NVIDIA GeForce GTX 980 4 GB Review - Power Consumption | TechPowerUp
 
Last edited:
Joined
Jan 3, 2021
Messages
2,844 (2.27/day)
Location
Slovenia
Processor i5-6600K
Motherboard Asus Z170A
Cooling some cheap Cooler Master Hyper 103 or similar
Memory 16GB DDR4-2400
Video Card(s) IGP
Storage Samsung 850 EVO 250GB
Display(s) 2x Oldell 24" 1920x1200
Case Bitfenix Nova white windowless non-mesh
Audio Device(s) E-mu 1212m PCI
Power Supply Seasonic G-360
Mouse Logitech Marble trackball, never had a mouse
Keyboard Key Tronic KT2000, no Win key because 1994
Software Oldwin
So they call 28nm "5"nm. That sound about right considering everything is lies everywhere these days.
A wise man once said twice, "Just add thirty. Works for all new nodes." I agree but admit that density still keeps rising, without transistors going 3D for real (such as in NAND).
 

Space Lynx

Astronaut
Joined
Oct 17, 2014
Messages
16,537 (4.69/day)
Location
Kepler-186f
Processor Ryzen 7800X3D -30 uv
Motherboard AsRock Steel Legend B650
Cooling MSI C360 AIO
Memory T-Create 32gb 6000 CL 30
Video Card(s) MERC310 7900 XT -60 uv +150 core
Display(s) NZXT Canvas IPS 1440p 165hz 27"
Case NZXT H710 (Red/Black)
Audio Device(s) SHP-9500, custom tube amp, Modi 3
Power Supply Corsair RM850W
5600x3d > 8800x3d is my upgrade path, after 8800x3d i am not confident supply will be there. im happy with 5600x3d for several years though if the industry ends up collapsing. meh
 
Joined
Jan 15, 2021
Messages
337 (0.27/day)
A wise man once said twice, "Just add thirty. Works for all new nodes." I agree but admit that density still keeps rising, without transistors going 3D for real (such as in NAND).
From what I heard from an intel engineer the more we advance the more the numbers of deactivated/non functional transistors count rises. To the point that on "10nm" about 40 to 60% of the transistors fall into that category. Makes you wonder wtf is going on.
 

ARF

Joined
Jan 28, 2020
Messages
4,169 (2.61/day)
Location
Ex-usa | slava the trolls
A wise man once said twice, "Just add thirty. Works for all new nodes." I agree but admit that density still keeps rising, without transistors going 3D for real (such as in NAND).

This is true even for the same "process". It depends on the design choice - high-density design which means lower clocks, or lower-density design which means higher clocks but also a larger die area.
This means that a high-density N5 chip can be more dense than a low-density N3 chip.

And here the idea - instead of a race to 0 "nm", call the "processes" according to the achievable or achieved transistor density/transistor count. It will be better.
For instance: TSMC 200, TSMC 150, TSMC 100, where the higher the number, the better.
 
Top