News Posts matching #MESO

Return to Keyword Browsing

Intel Breakthroughs Propel Moore's Law Beyond 2025

In its relentless pursuit of Moore's Law, Intel is unveiling key packaging, transistor and quantum physics breakthroughs fundamental to advancing and accelerating computing well into the next decade. At IEEE International Electron Devices Meeting (IEDM) 2021, Intel outlined its path toward more than 10x interconnect density improvement in packaging with hybrid bonding, 30% to 50% area improvement in transistor scaling, major breakthroughs in new power and memory technologies, and new concepts in physics that may one day revolutionize computing.

"At Intel, the research and innovation necessary for advancing Moore's Law never stops. Our Components Research Group is sharing key research breakthroughs at IEDM 2021 in bringing revolutionary process and packaging technologies to meet the insatiable demand for powerful computing that our industry and society depend on. This is the result of our best scientists' and engineers' tireless work. They continue to be at the forefront of innovations for continuing Moore's Law," said Robert Chau, Intel Senior Fellow and general manager of Components Research.

Intel Looks Beyond CMOS to the Future of Logic Devices

Today, "Nature" published a research paper on the next generation of logic devices authored by researchers from Intel, the University of California, Berkeley, and the Lawrence Berkeley National Laboratory. The paper describes a magneto-electric spin-orbit (MESO) logic device, invented by Intel. MESO devices have the potential to lower voltage by 5 times and energy by 10-30 times when combined with ultralow sleep state power, as compared to today's complementary metal-oxide-semiconductors (CMOS). While Intel is pursuing CMOS scaling, the company has been working on computing logic options that will emerge in the next decade for the beyond-CMOS era, driving computing energy-efficiency and allowing performance to grow across diverse computing architectures.

"We are looking for revolutionary, not evolutionary, approaches for computing in the beyond-CMOS era. MESO is built around low-voltage interconnects and low-voltage magneto-electrics. It brings together quantum materials innovation with computing. We are excited about the progress we have made and are looking forward to future demonstrations of reducing the switching voltage even further toward its potential," said Ian Young, Intel Senior Fellow and director of the Exploratory Integrated Circuits group in the Technology and Manufacturing Group.
Return to Keyword Browsing
Apr 24th, 2024 10:53 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts