News Posts matching #next-gen

Return to Keyword Browsing

Intel "Raptor Lake Refresh" 14th Generation Core CPUs now Supported in AIDA64

We have been gathering rumors of next-generation Intel desktop silicon. However, thanks to the latest software version of AIDA64, it can now identify Intel Core i3/i5/i7 and i9 processors from the coming Raptor Lake silicon refresh, also referred to as 14th Gen Core or 14000 series. AIDA64 cannot yet distinguish between specific models, as Intel has not officially disclosed these, nor have any documents been leaked. Nevertheless, the software should now be capable of displaying whether the current 13th Gen chip or the refreshed 14th model is in use.

Speculation indicates that Intel plans to launch its 14th Gen Core desktop CPUs in October, with an announcement scheduled at the Innovation event a month before. There is no concrete information regarding individual SKUs; however, expectations are that the new range will feature more cores for mid-range and entry-level segments, along with a minimum of 200 MHz higher clock speeds for Core i9 models. The AIDA64 software also adds support for some already launched hardware like AMD's Navi 24, which includes Radeon RX 6450M and Radeon RX 6550M. The complete list of changes can be found below.

Report Claims that Intel Raptor Lake Refresh Debuting in October

Chinese tech tipster Enthusiast Citizen (ECSM) has once again posted about upcoming Intel CPU product launches—according to an inside info post (published via Bilibili), Team Blue has possibly scheduled their Raptor Lake Refresh/14th Gen Core K-series for a release window around the 42nd week of 2023 (October 17 - 23). ECSM posits that non-K models will arrive during the first week of 2024, coinciding with January's CES trade event. The Core i7-14700K model is said to feature a new configuration of 8 Performance and 12 Efficiency cores, and current LGA1700 motherboards will most likely require a firmware upgrade to run this specific SKU.

ECSM also seems to have insider information regarding motherboard chipsets for desktop Arrow Lake/15th Gen Core, although they cannot determine an accurate time frame for the (fully new) product launch. Intel Z890, B860 and H810 chipsets are named as possible upcoming candidates for proper next generation CPUs, with H870 allegedly dropped from development. ECSM claims that a competing AMD Zen 5 lineup is not arriving this year—prior insider information was perhaps fabricated. They believe that Storm Peak (Zen 4 Threadripper) is scheduled for Q4 2023, with two unnamed chipsets lined up to accompany this next-gen HEDT platform.

Samsung & MediaTek Announce Industry-first 3Tx Antenna Transmission

Samsung Electronics and MediaTek completed the successful testing of 5G Standalone Uplink (UL) 2CC Carrier Aggregation (CA) with C-Band UL MIMO to reach top uplink speeds, marking a groundbreaking achievement in wireless mobile capabilities. This approach used three transmit (3Tx) antennas to improve upload experiences, ushering in an era of enhanced connectivity for consumers worldwide.

The demands on uplink performance are increasing with the rise of live streaming, multi-player gaming and video conferences. Upload speeds determine how fast your device can send data to gaming servers or transmit high-resolution videos to the cloud. As more consumers seek to document and share their experiences with the world in real-time, enhanced uplink experiences provide an opportunity to use the network to improve how they map out their route home, check player stats online and upload videos and selfies to share with friends and followers.

Micron Readying GDDR7 Memory for 2024

Last week Micron Technology CEO, Sanjay Mehrotra, announced during an investors meeting that the company's next generation GPU memory—GDDR7—will be arriving next year: "In graphics, industry analysts continue to expect graphics' TAM compound annual growth rate (CAGR) to outpace the broader market, supported by applications across client and data center. We expect customer inventories to normalize in calendar Q3. We plan to introduce our next-generation G7 product on our industry-leading 1ß node in the first half of calendar year 2024." His proposed launch window seems to align with information gleaned from previous reports—with NVIDIA and AMD lined up to fit GDDR7 SGRAM onto their next-gen mainstream GPUs, although Team Green could be delaying their Ada Lovelace successor into 2025.

Micron already counts these big players as key clients for its current GDDR6 and GDDR6X video memory offerings, but Samsung could be vying for some of that action with its own GDDR7 technology (as announced late last year). Presentation material indicated that Samsung is anticipating data transfer rates in the range of 36 Gbps, with usage of PAM3 signalling. Cadence has also confirmed similar numbers for its (industry first) GDDR7 verification solution, but the different encoding standard will require revising of memory controllers and physical interfaces.

Jackery Solar Generator 2000 Plus Reinterprets the Next-Generation Solar Generator

Jackery, a global leader in innovative portable power and green outdoor energy solutions, managed to captivate audiences at Intersolar Europe 2023 in Munich and Outdoor Retailer 2023 in Salt Lake City with its latest breakthrough in next-generation solar generators. In the past weeks, people had the opportunity to experience the cutting-edge technology packed into the Jackery Solar Generator 2000 Plus—a true game-changer in the field of high-performance energy storage solutions for outdoor adventures and off-grid living.

As an industry frontrunner, Jackery continues to redefine the boundaries of portable power with a relentless commitment to reliability, performance, and functionality. The Jackery Solar Generator 2000 Plus epitomizes the brand's unwavering dedication to technological innovation and design philosophy centered around functionality, versatility, and performance. It represents the latest addition to Jackery's signature solar generator lineup, which has already garnered a loyal following among van lifers, off-grid households, and emergency responders worldwide.

Samsung Electronics Unveils Foundry Vision in the AI Era

Samsung Electronics, a world leader in advanced semiconductor technology, today announced its latest foundry technology innovations and business strategy at the 7th annual Samsung Foundry Forum (SFF) 2023. Under the theme "Innovation Beyond Boundaries," this year's forum delved into Samsung Foundry's mission to address customer needs in the artificial intelligence (AI) era through advanced semiconductor technology.

Over 700 guests, from customers and partners of Samsung Foundry, attended this year's event, of which 38 companies hosted their own booths to share the latest technology trends in the foundry industry.

Intel Expects to Beat TSMC at 2nm, Intel Foundry to Operate Almost as a Separate Business

Intel's integrated device manufacturing (IDM) has been experiencing a lot of trouble in recent years, and the company is not a leading-edge semiconductor manufacturer, with TSMC taking the pole position. However, the new restructuring hopes to change some of the business operations to increase its efficiency and establish Intel as the go-to foundry for customers. David Zinsner, Executive Vice President and the Chief Financial Officer, alongside Jason Grebe, Corporate Vice President & GM of the Corporate Planning Group at Intel, joined investors to explain how IDM will transform into a next-generation business. Intel IDM, including Intel Foundry Services (IFS), will get a new operation model, which will put IDM as an almost separate business unit with its own profit and loss (P&L) statement published in the quarterly/yearly financial report.

According to Intel, the company's IDM 1.0 strategy has been serving it well, but IDM 2.0 is needed to build next-generation nodes as the capital required for them is massive. Intel hopes to regain node leadership with the Intel 18A node in 2025. The company's strategy is still to have IFS as the second biggest external foundry business, presumably just behind TSMC. Putting IDM into its own P&L will result in $8-10 billion in "cost reduction opportunities, " including ramp rates, test time, and sort times based on the market pricing, not Intel's pricing. At the start, IDM is expected o start with a negative operating margin. Intel also states that keeping IFS as a business unit allows the company to simultaneously develop products on it and de-risk it for customers who want to build on IFS. The company is developing five different products (assuming packaging) on Intel 18A, all of which will be available for customers to use as well.

NVIDIA DLSS 3 Now Available for Unreal Engine 5

NVIDIA DLSS 3 is a neural graphics technology that multiplies performance using AI image reconstruction and frame generation. It's a combination of three core innovations:
  • Super Resolution uses deep learning algorithms to upscale a lower-resolution input into a higher-resolution output, creating a sharp image with a boosted frame rate.
  • Frame Generation uses AI rendering to generate entirely new frames with best-in-class quality and responsiveness.
  • NVIDIA Reflex is a low-latency technology that minimizes input lag by synchronizing the CPU and the GPU for optimal responsiveness.

Lam Research Introduces World's First Bevel Deposition Solution to Increase Yield in Chip Production

Lam Research Corp. (Nasdaq: LRCX) today introduced Coronus DX, the industry's first bevel deposition solution optimized to address key manufacturing challenges in next-generation logic, 3D NAND and advanced packaging applications. As semiconductors continue to scale, manufacturing becomes increasingly complex with hundreds of process steps needed to build nanometer-sized devices on a silicon wafer. In a single step, Coronus DX deposits a proprietary layer of protective film on both sides of the wafer edge that helps prevent defects and damage that can often occur during advanced semiconductor manufacturing. This powerful protection increases yield and enables chipmakers to implement new leading-edge processes for the production of next-generation chips. Coronus DX is the newest addition to the Coronus product family and extends Lam's leadership in bevel technology.

"In the era of 3D chipmaking, production is complex and costly," said Sesha Varadarajan, senior vice present of the Global Products Group at Lam Research. "Building on Lam's expertise in bevel innovation, Coronus DX helps drive more predictable manufacturing and significantly higher yield, paving the way for adoption of advanced logic, packaging and 3D NAND production processes that weren't previously feasible."

ASUS & AsRock Motherboards Updated with BIOS Support for Intel 14th Gen Core

Momomo_us posted on Twitter about their finding of a BIOS firmware update for an Intel chipset motherboard from AsRock, others soon pointed out that ASUS had quietly revised a higher-end model as well. Both boards share the same socket platform - LGA 1700 - and currently support Team Blue's 13th Gen Raptor Lake CPU series, but new details presented on pages for the ROG Maximus Z790 APEX and B760M PG Sonic WiFi suggests that these models are pre-prepared for the (still heavily rumored) launch of Raptor Lake Refresh. The changelogs for their respective BIOS updates mention "compatibility for the next-gen" and "support for next-generation" processors. TPU community member, Nater, has pointed out that his ASUS ProArt Z790-CREATOR WIFI board is also eligible for 14th gen support.

Leaks suggest that the Intel 14th Gen Core lineup is expected to launch around October time this year. Raptor Lake Refresh is likely going to serve as a sort of interim release, since insiders think that desktop Meteor Lake-S SKUs are no longer on the menu, and a full-fledged next-gen upgrade - Arrow Lake-S - is not due until Q4 2024 or Q1 2025. Not much is known about how much more performant the RPL refresh will be when compared to the existing range, but more leaks are expected in the coming months. Mobile Meteor Lake examples have been spotted in the wild recently, in official and less official capacities, so keep your eyes peeled.

Insider Info Alleges SK hynix Preparing HBM3E Samples for NVIDIA

Industry insiders in South Korea have informed news publications that NVIDIA has requested that SK hynix submit samples of next-generation high bandwidth memory (HBM) for evaluation purposes—according to Business Korea's article, workers were preparing an initial batch of HBM3E prototypes for shipment this week. SK hynix has an existing relationship with NVIDIA—it fended off tough competition last year and has since produced (current gen) HBM3 DRAM for the H100 "Hopper" Tensor Core GPU.

The memory manufacturer is hoping to maintain its position as the HBM market leader with fifth generation products in the pipeline—vice president Park Myung-soo revealed back in April that: "we are preparing 8 Gbps HBM3E product samples for the second half of this year and are preparing for mass production in the first half of next year." A new partnership with NVIDIA could help SK hynix widen the gulf between it and and its nearest competitor - Samsung - in the field of HBM production.

Intel to Get 9.9 Billion Euros in State Subsidies for German Facility

Intel has been planning to build its next-generation semiconductor manufacturing plant in Germany for a long time. Today, we have more information thanks to the Handelsblatt business and financial newspaper. According to the Handelsblatt, Intel is in talks with the German government to get as much as 9.9 billion Euros in state subsidies, a target price much higher than the previously agreed 6.8 billion Euros. Federal Economics Minister Robert Habeck has been reportedly working intensively to get the amount of state aid for Intel to be this high. Intel's CEO Patrick Gelsinger is supposed to seal the deal on Monday when he will sign the agreement in the Chancellery.

As reported, the location of the next-generation facility will be Saxony-Anhalt, with the more precise location to be known on Monday. Also, we expect to hear what manufacturing node will the upcoming facility produce at the beginning.

AMD Confirms that Instinct MI300X GPU Can Consume 750 W

AMD recently revealed its Instinct MI300X GPU at their Data Center and AI Technology Premiere event on Tuesday (June 15). The keynote presentation did not provide any details about the new accelerator model's power consumption, but that did not stop one tipster - Hoang Anh Phu - from obtaining this information from Team Red's post-event footnotes. A comparative observation was made: "MI300X (192 GB HBM3, OAM Module) TBP is 750 W, compared to last gen, MI250X TBP is only 500-560 W." A leaked Giga Computing roadmap from last month anticipated server-grade GPUs hitting the 700 W mark.

NVIDIA's Hopper H100 took the crown - with its demand for a maximum of 700 W - as the most power-hungry data center enterprise GPU until now. The MI300X's OCP Accelerator Module-based design now surpasses Team Green's flagship with a slightly greater rating. AMD's new "leadership generative AI accelerator" sports 304 CDNA 3 compute units, which is a clear upgrade over the MI250X's 220 (CDNA 2) CUs. Engineers have also introduced new 24G B HBM3 stacks, so the MI300X can be specced with 192 GB of memory (as a maximum), the MI250X is limited to a 128 GB memory capacity with its slower HBM2E stacks. We hope to see sample units producing benchmark results very soon, with the MI300X pitted against H100.

JPR: Graphics Add-in Board Market Continued its Correction in Q1 2023

According to a new research report from the analyst firm Jon Peddie Research, unit shipments in the add-in board (AIB) market decreased in Q1 2023 by -12.6% and decreased by -38.2% year to year. Intel increased its add-in board market share by 2% during the first quarter.

The percentage of AIBs in desktop PCs is referred to as the attach rate. The attach rate grew from last quarter by 8% but was down -21% year to year. Approximately 6.3 million add-in boards shipped in Q1 2023. The market shares for the desktop discrete GPU suppliers shifted in the quarter, as AMD's market share remained flat from last quarter. Intel, which entered the AIB market in Q3'22 with the Arc A770 and A750, gained 2% in market share, while Nvidia retains its dominant position in the add-in board space with an 84% market share.

Molex Unveils 224 Gbps PAM4 Chip-to-Chip Connectors

Molex, a company known for making various electronics and connectors, has today announced that the company has developed a first-of-its-kind chip-to-chip connector. Designed mainly for the data center, the Molex 224G product portfolio includes next-generation cables, backplanes, board-to-board connectors, and near-ASIC connector-to-cable solutions. Running at 224 Gbps speeds, these products use PAM4 signaling and boast with " highest levels of electrical, mechanical, physical and signal integrity." As the company states, future high-performance computing (HPC) data centers require a lot of board-to-board, chip-to-chip, and other types of communication to improve overall efficiency and remove bottlenecks in data transfer. To tackle this problem, Molex has a range of products, including Mirror Mezz Enhanced, Inception, and CX2 Dual Speed products.

Future generative AI, 1.6T (1.6 Tb/s) Ethernet, and other data center challenges need a dedicated communication standard, which Molex is aiming to provide. Working with various data center and enterprise customers, the company claims to have set the pace for products based on this 224G PAM4 chip-to-chip technology. We suspect that Open Compute Project (OCP) will be first in the line of adoption, ad Molex has historically worked with them as they adopted Mirror Mezz and Mirror Mezz Pro board-to-board connectors. The new products can be seen below, and we expect to hear more announcements from Molex's partners. Solutions like OSFP 1600, QSFP 800, and QSFP-DD 1600 already use 224G products.

The TOUGHBOOK 40 Gets Viasat Encrypted Solid State Drive

Panasonic announced today the world's premier rugged notebook for the Defence industry can now be equipped with the Eclypt Core Encrypted Internal Solid State Drive from global communications company, Viasat Inc. (NASDAQ: VSAT), making the Panasonic TOUGHBOOK 40 unrivalled in its capabilities for the military, border control and emergency services. Available in May 2023, the TOUGHBOOK 40 notebook with solid state self-encrypting hard drive is certified for use in the UK for securing Top Secret information and all security levels below, as well as certified for use by NATO and other European countries.

"This is the next step in extending our partnership with Viasat to offer the highest-level security drives across the TOUGHBOOK range," said Jon Tucker, General Manager Engineering, Product & Mobility Solutions, at Panasonic Mobile Solutions Business Division. "With the current geopolitical tensions across Europe and beyond, the demand for this type of highly secure, rugged and modular flexible device is growing every day."

RIKEN and Intel Collaborate on "Road to Exascale"

RIKEN and Intel Corporation (hereafter referred to as Intel) have signed a memorandum of understanding on collaboration and cooperation to accelerate joint research in next-generation computing fields such as AI (artificial intelligence), high-performance computing, and quantum computers. The signing ceremony was concluded on May 18, 2023. As part of this MOU, RIKEN will work with Intel Foundry Services (IFS) to prototype these new solutions.

Samsung Electronics Announces 12nm-Class 7.2 Gbps DDR5 DRAM Mass Production Start

Samsung Electronics, a world leader in advanced memory technology, today announced that its 16-gigabit (Gb) DDR5 DRAM, which utilizes the industry's most advanced 12 nanometer (nm)-class process technology, has started mass production. Samsung's completion of the state-of-the-art manufacturing process reaffirms its leadership in cutting-edge DRAM technology.

"Using differentiated process technology, Samsung's industry-leading 12 nm-class DDR5 DRAM delivers outstanding performance and power efficiency," said Jooyoung Lee, Executive Vice President of DRAM Product & Technology at Samsung Electronics. "Our latest DRAM reflects our continued commitment to leading the DRAM market, not only with high-performance and high-capacity products that meet computing market demand for large-scale processing but also by commercializing next-generation solutions that support greater productivity."

Enablement Continues for Chinese Loongson 3A6000 CPUs Poised to Compete with Intel Willow Cove and AMD Zen 3

Chinese company Loongson, specializing in creating processors for usage in mainland China, has been steadily working on enabling its next-generation Loongson 3A6000 CPUs. Aiming to provide the performance level of Intel Willow Cove and AMD Zen 3, these new CPUs will use Loongson's custom LoongArch Instruction Set Architecture (ISA) with a new set of 64-bit superscalar LA664 cores. Today, thanks to the report from Phoronix, we find out that Loongson has submitted some Linux patches that enable the upcoming 3A6000 CPUs to work with Linux-based operating systems at launch. Interestingly, as the new CPU generation gets closer to launch, more Linux kernel patches begin to surface.

Today's kernel patches focus on supporting the hardware page table walker (PTW). As PTW can handle all fast paths of TLBI/TLBL/TLBS/TLBM exceptions by hardware, software only needs to handle slow paths such as page faults. Additionally, in the past, LoongArch utilized "dbar 0" as a complete barrier for all operations. However, this full completion barrier severely impacted performance. As a result, Loongson-3A6000 and subsequent processors have introduced various alternative hints. Loongson plans to ship samples to select customers in the first half of 2023, so we could see more information surfacing soon.

Noctua Reveals Product Roadmap for 2023 and 2024

Noctua has, as of this month, refreshed its product roadmap for the rest of 2023, and even provides a couple of scant details about far-off hardware scheduled for launch in early 2024 and beyond. The Austrian computer cooling hardware specialist is probably updating their release forecast in preparation for Computex 2023 - set to start at the end of the month - where brand new goodies could be debuted to industry-types and public attendees.

Noctua's 8-way fan hub seems to be delayed - the previous version of the roadmap had it down for a Q1 2023 launch, but the update now indicates a second quarter window. A bunch of next-gen AMD Threadripper coolers are marked down for an estimated third-quarter release, and Noctua has a slim 60 mm fan
as well as a 24 V 40 mm fan lined up for the final quarter. All-black versions of existing NH-D12L, NH-D9L and NH-L9x65 CPU coolers are due by the end of this year - a number of coolers are already available in the company's "chromax.black" finish - for those who do not enjoy the signature beige and brown aesthetic. A single next-generation 140 mm fan is teased for a Q1 2024 launch, with a chromax.black version coming later in the year. Finally, a re-designed NH-D15 CPU cooler gets a loose 2024 window.

MediaTek's Dimensity 9300 SoC Predicted to Have Fighting Chance Against Snapdragon 8 Gen 3

Early details of MediaTek's next generation mobile chipset have emerged this week, courtesy of renowned leaker Digital Chat Station via their blog on Weibo. The successor to MediaTek's current flagship Dimensity 9200 mobile chipset will likely be called "Dimensity 9300" - a very imaginative bump up in numbering - with smartphone brand Vivo involved as a collaborator. The tipster thinks that the fabless semiconductor company has contracted with TSMC for fabrication of the Dimensity 9300 chipset - and the foundry's N4P process has been selected by MediaTek, which could provide a bump in generational performance when compared to the older 4 nm and 5 nm standards used for past Dimensity SoC ranges. It should be noted that the current generation Dimensity 9200 chipset is presently manufactured via TSMC's N4P process.

MediaTek is seeking to turnaround its fortunes in the area of flagship mobile chipsets - industry watchdogs have cited a limited uptake of the Taiwanese company's Dimensity 9200 SoC as a motivating factor in the creation of a very powerful successor. Digital Chat Station suggests that the upcoming 9300 model will pack enough of a hardware punch to rival Qualcomm's forthcoming Snapdragon 8 Gen 3 SoC - both chipsets are touted to release within the same time period of late 2023. According to previous speculation, Qualcomm has also contracted with TSMC's factory to pump out the Snapdragon 8 Gen 3 via the N4P (4 nm) process.

Intel Foundry and Arm Announce Multigeneration Collaboration on Leading-Edge SoC Design

Intel Foundry Services (IFS) and Arm today announced a multigeneration agreement to enable chip designers to build low-power compute system-on-chips (SoCs) on the Intel 18A process. The collaboration will focus on mobile SoC designs first, but allow for potential design expansion into automotive, Internet of Things (IoT), data center, aerospace and government applications. Arm customers designing their next-generation mobile SoCs will benefit from leading-edge Intel 18A process technology, which delivers new breakthrough transistor technologies for improved power and performance, and from IFS's robust manufacturing footprint that includes U.S.- and EU-based capacity.

"There is growing demand for computing power driven by the digitization of everything, but until now fabless customers have had limited options for designing around the most advanced mobile technology," said Pat Gelsinger, CEO of Intel Corporation. "Intel's collaboration with Arm will expand the market opportunity for IFS and open up new options and approaches for any fabless company that wants to access best-in-class CPU IP and the power of an open system foundry with leading-edge process technology."

Microsoft Aims to Modernize its Upcoming Windows 12 with Modular Design

Insider sources at Microsoft have spoken of continued efforts to modernize the core of its operating system, with the work-in-progress Windows 12 cited as the ideal candidate for substantial updates. The engineering team is reported to be integrating a modular design, which will allow for a reduced operating system footprint - similar in principle to ChromeOS. According to a Windows Report article the operating system development team is hard at work on a spiritual successor to the abandoned Windows Core OS project. Their newest effort is reported to be called "Windows CorePC" and Microsoft is aiming to hit the same goals it set for its Windows 10X edition, which was cancelled in mid-2021, but they will also target native support for legacy applications on devices that require necessary access.

Windows Core OS was shutdown after years of development and internal testing - it was hoped that a modular Universal Windows Platform-first (UWP-F) operating system would be more lightweight and gain stronger security features, as well as greater access to regular updates. The inside sources stated that Windows Core OS will not be developed any further, at least not for desktop computer purposes. The Microsoft team is anticipating that its new CorePC project will lead onto new configurations of Windows that feature a capability of scaling up and down depending on hardware variations. Windows PCs and devices, in some user case scenarios, do not require full breadth of legacy Win32 application support. CorePC will enable different configurations of Windows to be installed on a custom basis.

Intel Arc "Battlemage" to Double Shader Count, Pack Larger Caches, Use TSMC 4 nm

Intel's next-generation Arc "Battlemage" GPU is expected to numerically-double its shader counts, according to a report by RedGamingTech. The largest GPU from the Arc "Battlemage" series, the "BMG-G10," aims to power SKUs that compete in the performance segment. The chip is expected to be built on a TSMC 4 nm-class EUV node, similar to NVIDIA's GeForce "Ada" GPUs, and have a die-size similar to that of the "AD103" silicon powering the GeForce RTX 4080.

Among the juiciest bits from this report are that the top "Battlemage" chip will see its Xe Core count doubled to 64, up from 32 on the top "Alchemist" part. This would see its execution unit (EU) count doubled to 1,024, and unified shader counts at 8,192. Intel is expected to give the chip clock speeds in excess of 3.00 GHz. The Xe Cores themselves could see several updates, including IPC uplifts, and support for new math formats. The memory sub-system is expected to see an overhaul, with a large 48 MB on-die L2 cache. While the memory bus is unchanged at 256-bit wide, the memory speed could see a significant increase up from the 16-17.5 Gbps on the Arc A770. As for when customers can actually expect products, the RedGamingTech report puts launch of the Arc "Battlemage" series at no sooner than Q2-2024. The company is expected to launch refreshed "Alchemist+" GPUs in 2023.

Intel Xeon Granite Rapids and Sierra Forest to Feature up to 500 Watt TDP and 12-Channel Memory

Today, thanks to Yuuki_Ans on the Chinese Bilibili forum, we have more information about the upcoming "Avenue City" platform that powers Granite Rapids and Sierra Forest. Intel's forthcoming Granite Rapids and Sierra Forest Xeon processors will diverge the Xeon family into two offerings: one optimized for performance/core equipped with P-cores and the other for power/core equipped with E-cores. The reference platform Intel designs and shares with OEMs internally is a 16.7" x 20" board with 20 PCB layers, made as a dual-socket solution. Featuring two massive LGA-7529 sockets, the reference design shows the basic layout for a server powered by these new Xeons.

Capable of powering Granite Rapids / Sierra Forest-AP processors of up to 500 Watts, the platform also accommodates next-generation I/O. Featuring 24 DDR5 DIMMs with support for 12-channel memory, with memory speeds of up to 6400 MT/s. The PCIe selection includes six PCIe Gen 5 x16 links supporting CXL cache coherent protocol and 6x24 UPI links. Additionally, we have another piece of information that Granite Rapids will come with up to 128 cores and 256 threads in both regular and HBM-powered Xeon Max flavoring. You can see storage and reference platform configuration details on the slides below.
Return to Keyword Browsing
May 14th, 2024 01:40 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts