• Welcome to TechPowerUp Forums, Guest! Please check out our forum guidelines for info related to our community.

Samsung and TSMC Reportedly Struggling with 3 nm Yields

TheLostSwede

News Editor
Joined
Nov 11, 2004
Messages
16,177 (2.27/day)
Location
Sweden
System Name Overlord Mk MLI
Processor AMD Ryzen 7 7800X3D
Motherboard Gigabyte X670E Aorus Master
Cooling Noctua NH-D15 SE with offsets
Memory 32GB Team T-Create Expert DDR5 6000 MHz @ CL30-34-34-68
Video Card(s) Gainward GeForce RTX 4080 Phantom GS
Storage 1TB Solidigm P44 Pro, 2 TB Corsair MP600 Pro, 2TB Kingston KC3000
Display(s) Acer XV272K LVbmiipruzx 4K@160Hz
Case Fractal Design Torrent Compact
Audio Device(s) Corsair Virtuoso SE
Power Supply be quiet! Pure Power 12 M 850 W
Mouse Logitech G502 Lightspeed
Keyboard Corsair K70 Max
Software Windows 10 Pro
Benchmark Scores https://valid.x86.fr/yfsd9w
According to Korean business news publication ChosunBiz, both Samsung and TSMC are struggling with their 3 nm node yields. The two companies have different approaches to their 3 nm nodes, with Samsung using GAA FET (Gate All Around), whereas TSMC is continuing with its FinFET technology. That said, TSMC has at least five known 3 nm nodes, of which two should be in production by now, assuming N3E has proved to be reliable enough to kick off. Samsung on the other hand has three known 3 nm nodes, with only one in production so far, called 3GAE.

ChosunBiz reports that neither company is getting the kind of yields that you'd expect from a node that should have been in volume production for around a year by now, with Samsung apparently being somewhat better than TSMC. At 60 and 50 percent respectively, neither Samsung nor TSMC are anywhere near decent yields. Anything below 70 percent is considered very poor and even the 60 percent claim in Samsungs case, is apparently limited to some kind of Chinese mining ASIC and doesn't include the SRAM you find in most modern processors. ChosunBiz also mentions a source familiar with Samsung's foundry business who mentions a yield closer to 50 percent for the company. The same source also mentions that Samsung needs to reach at least 70 percent yield to be able to attract major customers to its 3 nm node.



View at TechPowerUp Main Site | Source
 
Joined
Jan 3, 2021
Messages
2,751 (2.24/day)
Location
Slovenia
Processor i5-6600K
Motherboard Asus Z170A
Cooling some cheap Cooler Master Hyper 103 or similar
Memory 16GB DDR4-2400
Video Card(s) IGP
Storage Samsung 850 EVO 250GB
Display(s) 2x Oldell 24" 1920x1200
Case Bitfenix Nova white windowless non-mesh
Audio Device(s) E-mu 1212m PCI
Power Supply Seasonic G-360
Mouse Logitech Marble trackball, never had a mouse
Keyboard Key Tronic KT2000, no Win key because 1994
Software Oldwin
Intel won't do any better. Now we know where the end of the smooth road is.
 
Joined
May 3, 2019
Messages
1,516 (0.82/day)
System Name BigRed
Processor I7 12700k
Motherboard Asus Rog Strix z690-A WiFi D4
Cooling Noctua D15s/MX6
Memory TEAM GROUP 32GB DDR4 4000C16 B die
Video Card(s) MSI RTX 3080 Gaming Trio X 10GB
Storage M.2 drives-Crucial P5 500GB 4x4/WD SN850X 4TB 4x4/WD SN850X 2TB 4x4
Display(s) Dell s3422dwg 34" 3440x1440p 144hz ultrawide
Case Corsair 7000D
Audio Device(s) Topping D10s DAC/PCamp TC 1680 AMP/MS M10 Speakers/Bowers and Wilkins P7 Headphones
Power Supply Corsair RM850x 80% gold
Mouse Logitech G604 wireless
Keyboard Logitech G413 carbon
Software Windows 10 Pro
Benchmark Scores Who cares
Intel won't do any better. Now we know where the end of the smooth road is.

I doubt we will find out Intels yeilds
 
Joined
Oct 6, 2021
Messages
1,478 (1.55/day)
I doubt we will find out Intels yeilds
If they are indeed going to offer manufacturing services, this information tends to emerge.

It also sounds strange to say that with a 60% yield Samsung would not attract customers, wasn't Qualcomm manufacturing the SD 8G1 in 4nm with yields of just 30%?
 
Last edited:

bug

Joined
May 22, 2015
Messages
13,267 (4.04/day)
Processor Intel i5-12600k
Motherboard Asus H670 TUF
Cooling Arctic Freezer 34
Memory 2x16GB DDR4 3600 G.Skill Ripjaws V
Video Card(s) EVGA GTX 1060 SC
Storage 500GB Samsung 970 EVO, 500GB Samsung 850 EVO, 1TB Crucial MX300 and 2TB Crucial MX500
Display(s) Dell U3219Q + HP ZR24w
Case Raijintek Thetis
Audio Device(s) Audioquest Dragonfly Red :D
Power Supply Seasonic 620W M12
Mouse Logitech G502 Proteus Core
Keyboard G.Skill KM780R
Software Arch Linux + Win10
Good. Chips were getting way too cheap, those yields will curb that trend :nutkick:
 
Joined
Aug 18, 2022
Messages
316 (0.50/day)
Intel won't do any better. Now we know where the end of the smooth road is.
Intel 3 was said recently to be on track to be “manufacturing-ready” by the end of 2024.

There are Intel 3 test chips around, including a prototype UCIe chip for Synopsys that combines an Intel chiplet fabricated on Intel 3 with a TSMC chiplet fabricated on the N3E process node.
 

bug

Joined
May 22, 2015
Messages
13,267 (4.04/day)
Processor Intel i5-12600k
Motherboard Asus H670 TUF
Cooling Arctic Freezer 34
Memory 2x16GB DDR4 3600 G.Skill Ripjaws V
Video Card(s) EVGA GTX 1060 SC
Storage 500GB Samsung 970 EVO, 500GB Samsung 850 EVO, 1TB Crucial MX300 and 2TB Crucial MX500
Display(s) Dell U3219Q + HP ZR24w
Case Raijintek Thetis
Audio Device(s) Audioquest Dragonfly Red :D
Power Supply Seasonic 620W M12
Mouse Logitech G502 Proteus Core
Keyboard G.Skill KM780R
Software Arch Linux + Win10
Intel 3 was said recently to be on track to be “manufacturing-ready” by the end of 2024.
End of 2024 is a year way. One would expect both TSMC's and Samsung's yields to pick up by then...
 
Joined
May 13, 2008
Messages
673 (0.12/day)
System Name HTPC whhaaaat?
Processor 2600k @ 4500mhz
Motherboard Asus Maximus IV gene-z gen3
Cooling Noctua NH-C14
Memory Gskill Ripjaw 2x4gb
Video Card(s) EVGA 1080 FTW @ 2037/11016
Storage 2x512GB MX100/1x Agility 3 128gb ssds, Seagate 3TB HDD
Display(s) Vizio P 65'' 4k tv
Case Lian Li pc-c50b
Audio Device(s) Denon 3311
Power Supply Corsair 620HX
If they are indeed going to offer manufacturing services, this information tends to emerge.

It also sounds strange to say that with a 60% yield Samsung would not attract consumers, wasn't Qualcomm manufacturing the SD 8G1 in 4nm with yields of just 30%?

I get the impression, and I could be wrong, that Samsung played ball for cheaper wafer prices or Qualcomm made a deal for KGD.

It would appear Samsung is unwilling to do that for 3nm, in which case Qualcomm demands 70% yield to buy whole wafers.

With SD8GEN3 appearing to be have both 4nm and 3nm versions from TSMC, it would appear Samsung has until Qualcomm starts general production of their next chip to get yields up.

I would argue that's the important goal post, as I think over time Nuvia's Oryon is going to be a big deal considering it's performance and likely proliferation. It might actually start the ARM revolution in PCs.

That would be a big get. I wonder if they'll talk about their choice of fab and/or production timelines at Snapdragon Summit (24-26th of this month). It's possible they might, but I don't know.

The current word is they *may* dual-source 3nm from TSMC and Samsung, but I'm sure they'd love to use Samsung exclusively if they can get yields up enough for it to make financial sense versus TSMC.
 
Joined
Dec 21, 2022
Messages
124 (0.24/day)
If they are indeed going to offer manufacturing services, this information tends to emerge.

It also sounds strange to say that with a 60% yield Samsung would not attract consumers, wasn't Qualcomm manufacturing the SD 8G1 in 4nm with yields of just 30%?
"Major customers", not "consumers". Those would be businesses they are referring to
 
Joined
Dec 31, 2020
Messages
785 (0.64/day)
Processor E5-2690 v4
Motherboard VEINEDA X99
Video Card(s) 2080 Ti WINDFROCE OC
Storage NE-512 KingSpec
Display(s) G27Q
Case DAOTECH X9
Power Supply SF450
Intel won't do any better. Now we know where the end of the smooth road is.

Only a concern if Nanosheet doesn't work out.
 
Joined
Dec 21, 2022
Messages
124 (0.24/day)
Intel 3 was said recently to be on track to be “manufacturing-ready” by the end of 2024.

There are Intel 3 test chips around, including a prototype UCIe chip for Synopsys that combines an Intel chiplet fabricated on Intel 3 with a TSMC chiplet fabricated on the N3E process node.
Doubt it, rumours are AMD is skipping 3nm for the next gen entirely as well. Find it hard to believe of all companies, Intel, the only company still using 10 nm chips, who makes the most inefficient cpu's in the world and has no modern experience shrinking chips, are going to be the ones to innovate on 3nm while everyone else failed.
 
Joined
Dec 26, 2006
Messages
3,564 (0.56/day)
Location
Northern Ontario Canada
Processor Ryzen 5700x
Motherboard Gigabyte X570S Aero G R1.1 BiosF5g
Cooling Noctua NH-C12P SE14 w/ NF-A15 HS-PWM Fan 1500rpm
Memory Micron DDR4-3200 2x32GB D.S. D.R. (CT2K32G4DFD832A)
Video Card(s) AMD RX 6800 - Asus Tuf
Storage Kingston KC3000 1TB & 2TB & 4TB Corsair LPX
Display(s) LG 27UL550-W (27" 4k)
Case Be Quiet Pure Base 600 (no window)
Audio Device(s) Realtek ALC1220-VB
Power Supply SuperFlower Leadex V Gold Pro 850W ATX Ver2.52
Mouse Mionix Naos Pro
Keyboard Corsair Strafe with browns
Software W10 22H2 Pro x64
Joined
May 13, 2015
Messages
632 (0.19/day)
Processor AMD Ryzen 3800X / AMD 8350
Motherboard ASRock X570 Phantom Gaming X / Gigabyte 990FXA-UD5 Revision 3.0
Cooling Stock / Corsair H100
Memory 32GB / 24GB
Video Card(s) Sapphire RX 6800 / AMD Radeon 290X (Toggling until 6950XT)
Storage C:\ 1TB SSD, D:\ RAID-1 1TB SSD, 2x4TB-RAID-1
Display(s) Samsung U32E850R
Case be quiet! Dark Base Pro 900 Black rev. 2 / Fractal Design
Audio Device(s) Creative Sound Blaster X-Fi
Power Supply EVGA Supernova 1300G2 / EVGA Supernova 850G+
Mouse Logitech M-U0007
Keyboard Logitech G110 / Logitech G110

eidairaman1

The Exiled Airman
Joined
Jul 2, 2007
Messages
40,435 (6.56/day)
Location
Republic of Texas (True Patriot)
System Name PCGOD
Processor AMD FX 8350@ 5.0GHz
Motherboard Asus TUF 990FX Sabertooth R2 2901 Bios
Cooling Scythe Ashura, 2×BitFenix 230mm Spectre Pro LED (Blue,Green), 2x BitFenix 140mm Spectre Pro LED
Memory 16 GB Gskill Ripjaws X 2133 (2400 OC, 10-10-12-20-20, 1T, 1.65V)
Video Card(s) AMD Radeon 290 Sapphire Vapor-X
Storage Samsung 840 Pro 256GB, WD Velociraptor 1TB
Display(s) NEC Multisync LCD 1700V (Display Port Adapter)
Case AeroCool Xpredator Evil Blue Edition
Audio Device(s) Creative Labs Sound Blaster ZxR
Power Supply Seasonic 1250 XM2 Series (XP3)
Mouse Roccat Kone XTD
Keyboard Roccat Ryos MK Pro
Software Windows 7 Pro 64
All to keep prices high on their existing nodes
 
Joined
Jul 29, 2022
Messages
383 (0.58/day)
Intel 3 was said recently to be on track to be “manufacturing-ready” by the end of 2024.

There are Intel 3 test chips around, including a prototype UCIe chip for Synopsys that combines an Intel chiplet fabricated on Intel 3 with a TSMC chiplet fabricated on the N3E process node.
I'd vary about whatever Intel says. Remember they were like 3 years late with 10nm and then 2 more years before they could produce anything above a 25W TDP on it, and everyone online was laughing at their 14nm++++++ node cpu refreshes.
 
Joined
Oct 28, 2012
Messages
1,159 (0.27/day)
Processor AMD Ryzen 3700x
Motherboard asus ROG Strix B-350I Gaming
Cooling Deepcool LS520 SE
Memory crucial ballistix 32Gb DDR4
Video Card(s) RTX 3070 FE
Storage WD sn550 1To/WD ssd sata 1To /WD black sn750 1To/Seagate 2To/WD book 4 To back-up
Display(s) LG GL850
Case Dan A4 H2O
Audio Device(s) sennheiser HD58X
Power Supply Corsair SF600
Mouse MX master 3
Keyboard Master Key Mx
Software win 11 pro
Doubt it, rumours are AMD is skipping 3nm for the next gen entirely as well. Find it hard to believe of all companies, Intel, the only company still using 10 nm chips, who makes the most inefficient cpu's in the world and has no modern experience shrinking chips, are going to be the ones to innovate on 3nm while everyone else failed.
Intel 3 isn't "3nm", Just like the soon to be released MTL Intel 4 are not "4nm". It's an optimized "7nm" that going to be comparable to TSMC 3nm. The current Intel 10nm is called intel 7 because it's equivalent to TSMC 7nm.
Nodes names are a marketing name everywhere, ever since fabs engineers figured out that at some point simply making things smaller would bring a bunch of issues. TSMC 3nm isn't actually 3nm, but is as performant as a real 3nm would be in theory. Intel choose to use a "TSMC rating system" to avoid people thinking that their nodes are inferior because the number is bigger.
 
Joined
Aug 21, 2013
Messages
1,705 (0.43/day)
Intel 3 was said recently to be on track to be “manufacturing-ready” by the end of 2024.

There are Intel 3 test chips around, including a prototype UCIe chip for Synopsys that combines an Intel chiplet fabricated on Intel 3 with a TSMC chiplet fabricated on the N3E process node.
Doubt it, rumours are AMD is skipping 3nm for the next gen entirely as well. Find it hard to believe of all companies, Intel, the only company still using 10 nm chips, who makes the most inefficient cpu's in the world and has no modern experience shrinking chips, are going to be the ones to innovate on 3nm while everyone else failed.
I'd vary about whatever Intel says. Remember they were like 3 years late with 10nm and then 2 more years before they could produce anything above a 25W TDP on it, and everyone online was laughing at their 14nm++++++ node cpu refreshes.
Exactly. You mean to tell me that a company who has yet to release their first 7nm chips (whatever they call it in marketing) will be able to somehow leapfrog the competition a year from now?

And IF Intel does start producing 3nm at the end of 2024 then they are still a year behind. TSMC and Samsung are producing 3nm now, regardless of the yields.
Also it's a pretty big IF that Intel's yields will be above 70% from the get go considering the fact that their 7nm was delayed more than half a year and they are still unable to produce 8+32 desktop dies on it. Hence why Meteor Lake will be limited to laptops and NUC's and why they needed Raptor Lake Refresh on 10nm.
Intel 3 isn't "3nm", Just like the soon to be released MTL Intel 4 are not "4nm". It's an optimized "7nm" that going to be comparable to TSMC 3nm. The current Intel 10nm is called intel 7 because it's equivalent to TSMC 7nm.
Yeah that is what Intel says but we can all look at benchmarks and see that their 10nm that they call 7nm TSMC equivalent is not on par with it considering that it consumes more power. It's still 10nm.
Nodes names are a marketing name everywhere, ever since fabs engineers figured out that at some point simply making things smaller would bring a bunch of issues. TSMC 3nm isn't actually 3nm, but is as performant as a real 3nm would be in theory.
Of course it's not. It's more like 20-40nm.
Intel choose to use a "TSMC rating system" to avoid people thinking that their nodes are inferior because the number is bigger.
Intel chose to use way more dubious rating system that TSMC uses. Coupled with constant delays and cancellations it's the reason why people dont trust Intel.
 
Joined
Sep 15, 2011
Messages
6,485 (1.40/day)
Processor Intel® Core™ i7-13700K
Motherboard Gigabyte Z790 Aorus Elite AX
Cooling Noctua NH-D15
Memory 32GB(2x16) DDR5@6600MHz G-Skill Trident Z5
Video Card(s) ZOTAC GAMING GeForce RTX 3080 AMP Holo
Storage 2TB SK Platinum P41 SSD + 4TB SanDisk Ultra SSD + 500GB Samsung 840 EVO SSD
Display(s) Acer Predator X34 3440x1440@100Hz G-Sync
Case NZXT PHANTOM410-BK
Audio Device(s) Creative X-Fi Titanium PCIe
Power Supply Corsair 850W
Mouse Logitech Hero G502 SE
Software Windows 11 Pro - 64bit
Benchmark Scores 30FPS in NFS:Rivals
So again, what is this 3nm means?? What measures 3nm ?
Why do those companies are not getting properly regulated and fined for false advertisement??
 
D

Deleted member 185088

Guest
Great high prices :banghead: I was listening to an nVidia representative, blaming node prices for doubling the price for 4080 forgetting that the 4080 has a tiny die they basically tripled the price.
I'd vary about whatever Intel says. Remember they were like 3 years late with 10nm and then 2 more years before they could produce anything above a 25W TDP on it, and everyone online was laughing at their 14nm++++++ node cpu refreshes.
Forgot what happened to Intel back then, they were way ahead of everyone then got stuck with 14nm.
 

hs4

Joined
Feb 15, 2022
Messages
106 (0.13/day)
1) Comparing the number of fins and library height of standard cells for each company's nodes, which are the true physical length, Intel 4's high-performance cell (3/3 fins) is smaller than that of N5/N4 (3/3 fins) and about halfway between that of N3's HP cell (3/2 fins). Intel 4, once called 7nm, is about 1.5 generations ahead of TSMC's 7nm. It is now common knowledge that the notation 'X nm' is just a brand and does not mean physical length. If someone claims that, it means that they do not understand the number of fins or DTCO.

Screenshot 2023-10-06 at 13-54-59 Correctly Understanding the Intel 4 Scaling Value TechInsights.png

Figure from Techinsight


2) Intel 7 can consume a large amount of power simply because it has a higher maximum clock. If Raptor lake is clock-limited to the same clock/same benchmark score as the Ryzen 5000 series (N7), it will consume less power than the subject of comparison.
The Ryzen 7000 series (N5) is not much different in power efficiency from Raptor lake as a result of increasing the maximum clock, and the 7800X3D is more efficient due to lower clock due to lack of heat dissipation capability by the V-Cache.
スクリーンショット 2023-10-06 151945.png


3) Intel 4 has already achieved higher yields than Intel 10nm in the Tiger lake era, and Intel 3 is expected to have useful yields as it will be manufactured on the same equipment as Intel 4 with only minor changes in processing steps. Sierra Forest, will be available in the 1H 2024, uses a 600 mm² class die. It means that Intel 3 has the yield to make it profitable with such a large die.
Intel 3/4 was originally scheduled to start in the Raptor lake year, and the main delay is believed to have been caused by COVID's delay in delivering the manufacturing equipment, during which time the nodes matured to yield at the second year of operation level.

Screenshot 2023-10-06 at 13-53-21 Intel Meteor Lake Technical Deep Dive.png
 
Last edited:
Joined
Feb 27, 2009
Messages
36 (0.01/day)
1) Comparing the number of fins and library height of standard cells for each company's nodes, which are the true physical length, Intel 4's high-performance cell (3/3 fins) is smaller than that of N5/N4 (3/3 fins) and about halfway between that of N3's HP cell (3/2 fins). Intel 4, once called 7nm, is about 1.5 generations ahead of TSMC's 7nm. It is now common knowledge that the notation 'X nm' is just a brand and does not mean physical length. If someone claims that, it means that they do not understand the number of fins or DTCO.
Intel 4 problem isn't yield but cost. Reason for that is that they paid a lot money at ASML for equipment and R&D. They are only making compute tiles at this moment in this node which are about 40 - 60mm² (U/H). So yields are acceptable because tiny chips (tiles) lets see how it works with larger area.
 
Top