News Posts matching #Samsung

Return to Keyword Browsing

Samsung Announces Galaxy Book2 Pro Series Laptops

Samsung Electronics today announced the Galaxy Book2 Pro series, a flagship PC lineup featuring the Galaxy Book2 Pro 360 with S Pen functionality and Galaxy Book2 Pro with 5G. Both form factors combine the flexibility and versatility needed for today's evolving work and learning lifestyles and are built with Samsung's mobile DNA. The result is a PC experience that is equally productive and portable.

As more students and professionals enjoy remote or hybrid working environments, safeguarding their data, identity and privacy continue to be a top priority for Samsung. To further protect users, the Galaxy Book2 Pro series is the first consumer PC lineup meeting Microsoft's secured-core PC requirements. Previously designed for enterprise PCs in high-security industries such as finance, healthcare and government, the Galaxy Book2 Pro series' secured-core PC designation delivers an enhanced level of protection on Windows 11 and features deeply integrated hardware, firmware and software to boost protection against potential cyber-attacks. Now, everyone can enjoy advanced security for enhanced peace of mind.

Qualcomm Said to be Moving to TSMC for 3 nm Chips

Although nothing has been officially confirmed by Qualcomm, it looks like the company will be moving away from Samsung for its 3 nm based chips, in favour of TSMC. The Elec also mentions that Qualcomm has moved some of its Snapdragon 8 Gen 1 production to TSMC, something that has already been hitting the rumour mill. The first batch of 4 nm Snapdragon 8 Gen 1 chips are said to already have entered the early stages of production. The main reason for the move is said to be poor yields by Samsung Foundry on its 4 nm node.

The yield rates are said to be a measly 35 percent for the Snapdragon 8 Gen 1, with Samsung's Exynos 2200 having even lower yields. This also helps explain why Samsung's mobile division has decided to limit the availability of its Exynos 2200 based phones to only a few regions. Apparently Qualcomm had to send staff over to Korea to help get the yields up to their current rate, but it's not hard to see why the company is shifting back to TSMC, as a 35 percent yield rate is simply not acceptable. Samsung is said to be auditing Samsung Foundry to find out what has gone wrong, as anything below 80-90 percent in terms of yield rate is simply not acceptable for mass production. Qualcomm will apparently continue to use Samsung Foundry for its 7 nm RF chips, where the yields must be within industry norms.

Total NAND Flash Revenue Drops 2.1% QoQ in 4Q21 Due to Slowing Demand and Falling Prices, Says TrendForce

In 4Q21, NAND Flash bit shipments grew by only 3.3% QoQ, a significant decrease from the nearly 10% in 3Q21, according to TrendForce's investigations. ASP fell by nearly 5% and the overall industry posted revenue of US$18.5 billion, a QoQ decrease of 2.1%. This was primarily due to a decline in the purchase demand of various products and a market shift to oversupply causing a drop in contract prices. In 4Q21, with the exception of enterprise SSD, the supply of which was limited by insufficient upstream components, the prices of other NAND Flash products such as eMMC, UFS, and client SSD, all fell.

TrendForce's summary of NAND Flash market sales performance in 2021 is as follows: although there have been signs of weakening since 2H21, thanks to remote services and cloud demand driven by the pandemic, revenue performance still grew significantly compared to 2020. Revenue reached US$68.6 billion, up 21.1% YoY, the second-biggest increase since 2018.

Samsung Employees Being Investigated for "Fabricating" Yields

Samsung Electronics is hit by a major scandal involving current and former employees. It's being alleged that these employees are involved in falsifying information about the semiconductor fabrication yields of the company's 3/4/5 nanometer nodes to clear them for commercial activity. This came to light when Samsung was observing lower than expected yields after the nodes were approved for mass-production of logic chips for Samsung, as well as third-party chip-designers. A falsified yield figure can have a cascading impact across the supply-chain, as wafer orders and pricing are decided on the basis of yields. Samsung however, has downplayed the severity of the matter. The group has initiated an investigation into Samsung Device Solutions, the business responsible for the foundry arm of the company. This includes a thorough financial audit of the foundry to investigate if the investments made to improve yields were properly used.

Schenker (XMG) Predicts New Laptop Delays Due to Component Shortages

China is reacting to new outbreaks of the Omicron variant of the Coronavirus with partial lockdowns. This could further delay the availability of laptops with 12th Gen Intel Core processors and NVIDIA's Ti graphics cards, which debuted at the beginning of the year. The first factories have already been closed in Suzhou in the east of the country. Supply chain and logistics bottlenecks, a shortage of certain chip types and price increases are already on the horizon.

Samsung RDNA2-based Exynos 2200 GPU Performance Significantly Worse than Snapdragon 8 Gen1, Both Power Galaxy S22 Ultra

The Exynos 2200 SoC powering the Samsung Galaxy S22 Ultra in some regions such as the EU, posts some less-than-stellar graphics performance numbers, for all the hype around its AMD-sourced RDNA2 graphics solution, according to an investigative report by Erdi Özüağ, aka "FX57." Samsung brands this RDNA2-based GPU as the Samsung Xclipse 920. Further, Özüağ's testing found that the Exynos 2200 is considerably slower than the Qualcomm Snapdragon 8 Gen 1 powering the S22 Ultra in certain other regions, including the US and India. He has access to both kinds of the S22 Ultra.

In the UL Benchmarks 3DMark Wildlife test, the Exynos 2200 posted a score of 6684 points, compared to 9548 points by the Snapdragon 8 Gen 1 (a difference of 42 percent). What's even more interesting, is that the Exynos 2200 is barely 7 percent faster than the previous-gen Exynos 2100 (Arm Mali GPU) powering the S21 Ultra, which scored 6256 points. The story repeats with the GFXBench "Manhattan" off-screen render benchmark. Here, the Snapdragon 8 Gen 1 is 30 percent faster than the Exynos 2200, which performs on-par with the Exynos 2100. Find a plethora of other results in the complete review comparing the two flavors of the S22 Ultra.

NAND Flash Pricing Set to Spike 5-10% in Q2 Due to Material Contamination at WDC and Kioxia, Says TrendForce

WDC recently stated that certain materials were contaminated in late January at NAND Flash production lines in Yokkaichi and Kitakami, Japan which are joint ventures with Kioxia, according to TrendForce's investigations. Before this incident, TrendForce had forecast that the NAND Flash market will see a slight oversupply the entire year and average price from Q1 to Q2 will face downward pressure. However, the impact of WDC's material contamination issue is significant and Samsung's experience during the previous lockdown of Xi'an due to the pandemic has also retarded the magnitude of the NAND Flash price slump. Therefore, the Q1 price drop will diminish to 5~10%. In addition, according to TrendForce, the combined WDC/Kioxia NAND Flash market share in the 3Q21 was as high as 32.5%. The consequences of this latest incident may push the price of NAND Flash in Q2 to spike 5~10%.

The contaminated products in this incident are concentrated in 3D NAND (BICS) with an initial estimate of 6.5exabytes (approximately 6,500M GB) affected. According to TrendForce, damaged bits account for 13% of the group's output in 1Q22 and approximately 3% of the total output for the year. The normal production schedule for the entire line has yet to be confirmed. It is worth noting that the damages announced by WDC likely do not account for total losses stemming for this event and the number of damaged Kioxia parts has not been aggregated, so the total number of affected bits may increase further.

Breaking the Rules With Galaxy Tab S8 Series: The Biggest, Boldest, Most Versatile Galaxy Tablet Ever

Samsung Electronics today announced its new Galaxy Tab S8 series, the Galaxy Tab S8 and S8+, and the first-ever Tab S8 Ultra tablet in the lineup with the biggest, boldest display and most powerful performance Galaxy Tab S has ever offered. Sophisticated hardware, premium productivity features and the seamless ecosystem Galaxy users count on are combined in a single portable productivity powerhouse, designed to be your perfect companion in an always-on, video-first world. The Galaxy Tab S8 series offers you the freedom and flexibility you deserve to work and play anywhere like never before.

More than ever, we rely on tablets for video calling and streaming. Each Galaxy Tab S8 offers an improved video conferencing experience with ultra-wide front cameras, a three-microphone setup and intelligent auto-framing technology for a truly professional video call experience. It offers revolutionary multitasking capabilities with enhanced Multi-Active Windows and Samsung DeX, safe and easy file sharing with password-protected Quick Share, and powerful productivity with the new, super-fast 4 nm processor and a smoother than ever S Pen in the box. All this is packed into the thinnest and toughest Armor aluminium body for greater portability, and to enhance your mobile experience further, Galaxy Tab S8 series works hand-in-hand with your full ecosystem of Galaxy devices, with features like Second Screen and Buds Auto Switch.

Internal Turmoil at Samsung Might Lead to Delay of QD-OLED Products in Retail

Samsung Electronics appears to have ended up in a pricing dispute with Samsung Display over the cost of QD-OLED panels, which could have the knock-on effect that Samsung branded QD-OLED displays might end up in retail later than anticipated. Samsung Electronics was set to launch its first QD-OLED TVs towards the middle of this year, but it seems like that might no longer be the case, unless the dispute can be resolved. The reason behind it, seems to be that LG Display's W-OLED panels are cheaper than Samsung Display's QD-OLED panels and Samsung Electronics doesn't want to pay a higher panel price.

It's unknown how much of a price difference there is, but The Elec is guessing it's around US$100 per panel. Considering Samsung Electronics appears to have bet heavily on QD-OLED, at least based on their CES 2022 announcements and that we're talking about premium TV's here, it doesn't seem like it would make that big of a difference. However, without knowing how competitive Samsung intended to be, it's possible that they wouldn't be able to compete with companies using W-OLED panels from LG Display. Maybe the most interesting part of this story is the fact that it mentions that Samsung Display is working on a 30-inch QD-OLED panel that they will supply Dell with, which is targeted towards computer monitors. So even if we might not see QD-OLED TV's when initially expected, we can at least hope for some high-end computer monitors with the tech later this year. Samsung Display is said to be producing QD-OLED substrates at a size of 2.2 x 2.5 metres at a rate of 30,000 units per month at the moment.

Global Semiconductor Revenue Grows by 25% in 2021, Surpassing $500 Billion for the First Time

Global semiconductor sales revenue grew by a more than comfortable 25% in 2021, hitting a new record above $500 Billion for the first time. The data, part of Gartner's preliminary report on the state of the industry in 2021, bookends yet another year plagued with shortages and too little supply for the thirst of the consumer and business sectors. Naturally, supply constraints have led to higher ASP (Average Selling Prices) for the hardware that does get manufactured and distributed, as we've seen all too well in the graphics card market.

"As the global economy bounced back in 2021, shortages appeared throughout the semiconductor supply chain, particularly in the automotive industry," said Andrew Norwood, research vice president at Gartner. "The resulting combination of strong demand as well as logistics and raw material price increases drove semiconductors' average selling price higher (ASP), contributing to overall revenue growth in 2021.

Toxic Spillage at Samsung Austin Leaves "Virtually no Surviving Aquatic Life"

Electronic waste isn't the only environmental concern when it comes to technological manufacturing; toxic byproducts also have to be contended with, with tech companies spending millions of dollars to comply with environmental disposal regulations. Sometimes, however, things don't go as they're supposed to. Such was the case with a toxic spillage from Samsung's Austin, Texas manufacturing facility, which spilled the equivalent of 763,000 gallons of acid waste towards a nearby stormwater pond. This same pond ultimately feeds into a tributary of the Harris Branch Creek in Northeast Austin.

The spillage is estimated to have occurred over 100+ days, and the consequences are severe. According to a report published by an Environmental Officer working for Austin City Council, the spillage left "virtually no surviving aquatic life" due to it lowering the water body's pH down to levels between 2 and 3 (which is deadly toxic even for adult aquatic life). According to Samsung, it stopped the discharges as soon as they were noticed, saying that "a majority of the wastewater was contained on-site; however, a portion was inadvertently released into an unnamed small tributary that is upstream of Harris Branch Creek." The company further stated it has hired a cleanup specialist, with aims to "restore the tributary" and prevent dissemination of the toxic waste throughout the main branch of the Harris Branch Creek.

Price Drop of NAND Flash Products for 1Q22 Expected to Taper to 8-13% QoQ Decline, Says TrendForce

NAND Flash prices for 1Q22 are expected to decline by 8-13% QoQ, compared to TrendForce's previous forecast of 10-15% QoQ, primarily due to PC OEMs' increased orders for PCIe 3.0 products and the impact of the lockdown in Xi'an on PC OEMs' price negotiation approaches. To mitigate potential risks in logistics, NAND Flash buyers are now more willing to accept a narrower decline in contract prices in order to obtain their products sooner. However, as the Xi'an lockdown has not noticeably affected the local fabs' manufacturing operations, the movement of NAND Flash contract prices going forward will likely remain relatively unaffected by the lockdown.

In addition, TrendForce finds that the daily number of new COVID-19 cases in Xi'an has recently undergone a noticeable drop, and the local government has also announced that that the emergency level has been downgraded. As such, Samsung's and Micron's local production facilities are returning to normal with respect to workforce and operational capacity. Samsung's local production base manufactures NAND Flash products, whereas Micron's local production base is responsible for the testing and packaging of DRAM chips as well as the assembly of DRAM modules. The impacts of the lockdown mainly relate to delays in the deliveries of memory products to customers. On the other hand, the event has not caused a tangible loss in memory production.

Gartner: Worldwide Semiconductor Revenue Grew 25.1% in 2021, Exceeding $500 Billion For the First Time

Worldwide semiconductor revenue increased 25.1% in 2021 to total $583.5 billion, crossing the $500 billion threshold for the first time, according to preliminary results by Gartner, Inc.

"As the global economy bounced back in 2021, shortages appeared throughout the semiconductor supply chain, particularly in the automotive industry," said Andrew Norwood, research vice president at Gartner. "The resulting combination of strong demand as well as logistics and raw material price increases drove semiconductors' average selling price higher (ASP), contributing to overall revenue growth in 2021.

Samsung Introduces Game Changing Exynos 2200 Processor with Xclipse GPU Powered by AMD RDNA2 Architecture

Samsung Electronics Co., Ltd., a world leader in advanced semiconductor technology, today announced its new premium mobile processor, the Exynos 2200. The Exynos 2200 is a freshly designed mobile processor with a powerful AMD RDNA 2 architecture based Samsung Xclipse graphics processing unit (GPU). With the most cutting-edge Arm -based CPU cores available in the market today and an upgraded neural processing unit (NPU), the Exynos 2200 will enable the ultimate mobile phone gaming experience, as well as enhancing the overall experience in social media apps and photography.

"Built on the most advanced 4-nanometer (nm) EUV (extreme ultraviolet lithography) process, and combined with cutting-edge mobile, GPU, and NPU technology, Samsung has crafted the Exynos 2200 to provide the finest experience for smartphone users. With the Xclipse, our new mobile GPU built with RDNA 2 graphics technology from the industry leader AMD, the Exynos 2200 will redefine mobile gaming experience, aided by enhanced graphics and AI performance," said Yongin Park, President of System LSI Business at Samsung Electronics. "As well as bringing the best mobile experience to the users, Samsung will continue its efforts to lead the journey in logic chip innovation."

ADATA Guarantees Consistent XPG Atom 50 SSD Controller and NAND Flash Combo

A disturbing trend among entry-level M.2 NVMe SSDs is a complete disregard from manufacturers for consistency in the brands and types of the various key components of the drives. The companies simply advertise a certain set of performance and endurance numbers, which serve as ends to reach by whatever means (of controller or NAND flash combos). This was recently illustrated with the Kingston NV1, which presents an extreme case of "hardware lottery." You can get either a SMI or Phison controller, and either a TLC or QLC NAND flash (combinations thereof).

Cutting through all this, ADATA is making a reassuring guarantee with regards to its recently announced entry-level NVMe product, the XPG Atom 50. In an exclusive comment to TechPowerUp, the company said that all XPG Atom 50 drives in the market will come with a consistent combination of controller and NAND flash. The drive combines an Innogrit IG5220 RainierQX controller with Micron "B47R" 176-layer 3D TLC NAND flash chips, a combo ADATA guarantees it will never break. We recently reviewed the 1 TB variant of this drive, and found it to offer excellent performance leveraging PCIe Gen4, at a price you'd typically find PCIe Gen3 drives at.

AMD to Refresh the Radeon RX 6000 Desktop Series with Faster Memory

AMD is preparing a round of updates to its desktop Radeon RX 6000 series in the wake of RTX 30-series models by NVIDIA, according to Greymon55, a reliable source with GPU rumors. The company could be leveraging faster 18 Gbps GDDR6 memory chips for the task. This wouldn't be the first RX 6000 series products with 18 Gbps memory, as the liquid-cooled MBA (made-by-AMD) RX 6900 XT that's exclusive to OEMs, already comes with 18 Gbps memory clocks.

Mass-production of JEDEC-standard GDDR6 memory chips with data-rates as high as 20 Gbps and 24 Gbps by Samsung is expected to get underway later this year. The company is already sampling these chips, and it's likely that they may feature in the next round of product-stack updates by AMD and NVIDIA. In the run up to its next-gen RDNA3 graphics architecture, AMD is rumored to be working on a refresh of RDNA2 on the new TSMC N6 (6 nm) foundry node that it already leverages for the entry-level "Navi 24" ASIC. This is expected to open up headroom to dial up engine clocks, and possibly support faster memory. As for this latest refresh with 18 Gbps memory, if AMD's naming convention for its mobile RX 6850M is anything to go by, the new SKUs could feature a similar "xx50" model numbering.

Samsung Demonstrates the World's First MRAM Based In-Memory Computing

Samsung Electronics, today announced its demonstration of the world's first in-memory computing based on MRAM (Magnetoresistive Random Access Memory). The paper on this innovation was published online by Nature on January 12, and is set to be published in the upcoming print edition of Nature. Titled 'A crossbar array of magnetoresistive memory devices for in-memory computing', this paper showcases Samsung's leadership in memory technology and its effort to merge memory and system semiconductors for next-generation artificial intelligence (AI) chips.

The research was led by Samsung Advanced Institute of Technology (SAIT) in close collaboration with Samsung Electronics Foundry Business and Semiconductor R&D Center. The first author of the paper, Dr. Seungchul Jung, Staff Researcher at SAIT, and the co-corresponding authors Dr. Donhee Ham, Fellow of SAIT and Professor of Harvard University and Dr. Sang Joon Kim, Vice President of Technology at SAIT, spearheaded the research.

ASML Provides Damage Assessment of Fire Incident, EUV Component Production Affected

ASML, makers of vital semiconductor fabrication machinery powering the world's leading fabs, including TSMC, provided its first damage-assessment of the fire incident at one of its component plants near Berlin, on January 3. This plant manufactures several mechanical and optical components of semiconductor fabrication machinery, such as wafer tables and clamps, reticle chucks and mirror blocks.

ASML, in a press-release, disclosed that production of components used in DUV (deep-ultraviolet) machines, has been restarted, as that area of the plant is unaffected by the fire. A region of the plant that manufactures wafer clamps for use in its EUV (extreme ultraviolet) machines, however, has been affected by the fire. The company is still in the process of coming up with a recovery plan for this area, and will come up with a tentative date for restart of production only after that. EUV lithography is leveraged for 5 nm and upcoming 3 nm silicon fabrication nodes at TSMC, Samsung, and Intel. TSMC is known to be ASML's largest customer. ASML stated that it will release its Q4-2021 and full-year 2021 financial results on January 19, and it may provide more updates on the matter.
The press-release follows.

Samsung Goes Large with 55-inch Odyssey Ark Monitor

There's always a discussion about screen sizes and resolution when news about a new display is posted and we have a feeling that this one will be ultra polarising, since Samsung has decided to show off a 55-inch 4K curved display, with a tilt option. Yes, you read that correctly and there are pictures from CES to prove it as well. It would appear to come with a small, external control unit, which is likely to come handy, as reaching the onboard controls could be tricky on a large display like this.

Little else is known about the Odyssey Ark at this moment, but it's apparently using what Samsung calls a Quantum Mini-LED panel and it's meant to have multiple built in speakers. However, it is said to be a real product that should launch in the US market in the second half of this year, but expect to pay a crazy premium for the pleasure of owning one.

Samsung Electronics Launches The Freestyle, a Portable Screen for Entertainment Wherever You Are

Samsung Electronics today announced the launch of its all-new portable screen and entertainment device, The Freestyle, ahead of CES 2022. The Freestyle offers first-of-its-kind technology and flexibility to deliver optimal viewing and entertainment for customers looking to bring video and audio content wherever they go. Targeted toward Gen Z and millennials, The Freestyle is a projector, smart speaker and ambient lighting device all rolled into one lightweight, portable device. When it comes to portability, The Freestyle weighs only 830 grams allowing to change any space into a screen with ease. Unlike conventional, boxy projectors, The Freestyle's versatile cradle allows rotation of up to 180 degrees, enabling users to show high-quality video anywhere - tables, floors, walls or even ceilings - no separate screen required.

"The Freestyle is a one-of-a-kind projector geared towards ultimate versatility and flexibility to meet the consumers' changing lifestyles," said Simon Sung, Executive Vice President and Head of the Sales & Marketing Team of the Visual Display Business at Samsung Electronics. "Without the limitation in space and form factor, The Freestyle is a fun and versatile device that can be used in any way consumers prefer."

Samsung Reportedly Raising Prices for Its SSD Lineup in Early 2022, Amidst Lower Market Pricing

DigiTimes, citing sources within the industry, reports that Samsung is mulling a price raise for its own-brand SSDs. The report doesn't put a number or percentage to the prospective price increase, but it also states that Samsung is seemingly moving in the opposite direction of other SSD vendors. Samsung's competition is expected to lower overall pricing by up to 10% in an attempt to increase product attractiveness after facing lower than expected demand.

Samsung is one of the world's largest manufacturers of NAND chips, and enjoys a fully vertical SSD development capability: the company manufactures its own NAND and controller chips, which has led to a strong foothold in both the industry and in consumer's expectations for SSD speed and reliability. It thus seems strange that the company would move in the opposite direction of the remaining industry, especially considering how it benefits from economies of scale and (expectedly) higher product margins than companies that don't manufacture their own NAND. However, Samsung has recently cut its production guidance for both its Xi'an, China NAND factories after local COVID-19 surges forced partial lockdowns. These factories are responsible for around 40% of Samsung's global NAND output - and thus, they also account for around 7% of global NAND production.

Samsung Electronics Showcases Monitor Leadership at CES With Versatile 2022 Lineup

Samsung Electronics today announced new models in its monitor lineup that exemplify its leadership within the sector. The versatile new lineup delivers beautiful picture quality and intuitive features that give consumers more choice when selecting a monitor that fits their exact needs.

The 2022 monitor portfolio brings out the best experiences while working, gaming or watching content at home. Samsung's latest monitors include features for high-performance gaming as well as smart and pro-level elements, such as the Odyssey's Quantum Mini LED backlight panel and HDR 2000; the Smart Monitor's do-it-all screen with smart features; and the High Resolution Monitor's comfortable ergonomics.

Samsung To Showcase Innovative Startup Projects From C-Lab at CES 2022

Samsung Electronics today announced it will be showcasing 13 innovative projects from its C-Lab program at CES 2022. Samsung will unveil four exciting projects from C-Lab Inside, its in-house venture program, and nine startups supported by C-Lab Outside, the company's startup acceleration program, to the public.

Started in December 2012, C-Lab Inside nurtures employees' innovative ideas while instilling a corporate culture in which creativity is at the fore. The program supports the development of ideas from all areas of the business. Since 2015, Samsung has been supporting successful C-Lab projects that demonstrate great market potential, and facilitating their launch as startups under the C-Lab spin-off policy. Leveraging the success of the C-Lab Inside initiative, C-Lab Outside has been expanding Samsung's support for new ventures to startups and innovations outside of the Samsung network since 2018.

Samsung Electronics Announces HDR10+ GAMING Standard Support for Its New Screens

Samsung Electronics announced today that select 2022 4K and 8K TVs and gaming monitors will support the new HDR10+ GAMING standard, delivering the immersive, ultra-responsive HDR gaming experience to gamers. The new, cutting-edge HDR gameplay will be unveiled at CES 2022 along with a list of 4K and 8K game titles, all powered by NVIDIA GPUs.

"We are extremely proud to announce that the new HDR10+ GAMING standard will be adopted by Samsung's 2022 Neo QLED line up with the Q70 TV series and above and gaming monitors, allowing users to enjoy a game-changing experience through cutting-edge visuals and richer, life-like images," said Seokwoo Yong, Executive Vice President and Head of R&D Team, Visual Display Business at Samsung Electronics. "Samsung will continue to invest in users' viewing experiences as technology continues to advance and provide enhanced new features and capabilities."

Samsung Develops PM1743 High-Performance PCIe 5.0 SSD for Enterprise Servers

Samsung Electronics Co., Ltd., the world leader in advanced memory technology, today announced that it has developed the PM1743 SSD for enterprise servers, integrating the PCIe (Peripheral Component Interconnect Express) 5.0 interface with Samsung's advanced sixth-generation V-NAND.

"For over a decade, Samsung has been delivering SATA, SAS and PCIe-based SSDs that have been recognized for outstanding performance and reliability by leading enterprise server customers including corporations, governments and financial institutions," said Yong Ho Song, Executive Vice President and Head of the Memory Controller Development Team at Samsung Electronics. "The introduction of our PCIe 5.0 SSD, along with PCIe 6.0-based product developments that are underway, will further solidify our technological leadership in the enterprise server market."
Return to Keyword Browsing
Apr 23rd, 2024 23:10 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts