Sunday, December 4th 2022

Intel Research Fuels Moore's Law and Paves the Way to a Trillion Transistors by 2030

Today, Intel unveiled research breakthroughs fueling its innovation pipeline for keeping Moore's Law on track to a trillion transistors on a package in the next decade. At IEEE International Electron Devices Meeting (IEDM) 2022, Intel researchers showcased advancements in 3D packaging technology with a new 10x improvement in density; novel materials for 2D transistor scaling beyond RibbonFET, including super-thin material just 3 atoms thick; new possibilities in energy efficiency and memory for higher-performing computing; and advancements for quantum computing.

"Seventy-five years since the invention of the transistor, innovation driving Moore's Law continues to address the world's exponentially increasing demand for computing. At IEDM 2022, Intel is showcasing both the forward-thinking and concrete research advancements needed to break through current and future barriers, deliver to this insatiable demand, and keep Moore's Law alive and well for years to come." -Gary Patton, Intel vice president and general manager of Components Research and Design Enablement
What's Happening at IEDM: Commemorating the 75th anniversary of the transistor, Dr. Ann Kelleher, Intel executive vice president and general manager of Technology Development, will lead a plenary session at IEDM. Kelleher will outline the paths forward for continued industry innovation - rallying the ecosystem around a systems-based strategy to address the world's increasing demand for computing and more effectively innovate to advance at a Moore's Law pace. The session, "Celebrating 75 Years of the Transistor! A Look at the Evolution of Moore's Law Innovation," takes place at 9:45 a.m. PST on Monday, Dec. 5.

Why It Matters: Moore's Law is vital to addressing the world's insatiable computing needs as surging data consumption and the drive toward increased artificial intelligence (AI) brings about the greatest acceleration in demand ever.

Continuous innovation is the cornerstone of Moore's Law. Many of the key innovation milestones for continued power, performance and cost improvements over the past two decades - including strained silicon, Hi-K metal gate and FinFET - in personal computers, graphics processors and data centers started with Intel's Components Research Group. Further research, including RibbonFET gate-all-around (GAA) transistors, PowerVia back side power delivery technology and packaging breakthroughs like EMIB and Foveros Direct, are on the roadmap today.

At IEDM 2022, Intel's Components Research Group showed its commitment to innovating across three key areas to continue Moore's Law: new 3D hybrid bonding packaging technology to enable seamless integration of chiplets; super-thin, 2D materials to fit more transistors onto a single chip; and new possibilities in energy efficiency and memory for higher-performing computing.

How We Do It: Components Research Group researchers have identified new materials and processes that blur the line between packaging and silicon. We reveal critical next steps on the journey to extending Moore's Law to a trillion transistors on a package, including advanced packaging that can achieve an additional 10x interconnect density, leading to quasi-monolithic chips. Intel's materials innovations have also identified practical design choices that can meet the requirements of transistor scaling using novel material just 3 atoms thick, enabling the company to continue scaling beyond RibbonFET.

Intel introduces quasi-monolithic chips for next generation 3D packaging:
  • Intel's latest hybrid bonding research presented at IEDM 2022 shows an additional 10 times improvement in density for power and performance over Intel's IEDM 2021 research presentation.
  • Continued hybrid bonding scaling to a 3 um pitch achieves similar interconnect densities and bandwidths as those found on monolithic system-on-chip connections.
Intel looks to super-thin '2D' materials to fit more transistors onto a single chip:
  • Intel demonstrated a gate-all-around stacked nanosheet structure using 2D channel material just 3 atoms thick, while achieving near-ideal switching of transistors on a double-gate structure at room temperature with low leakage current. These are two key breakthroughs needed for stacking GAA transistors and moving beyond the fundamental limits of silicon.
  • Researchers also revealed the first comprehensive analysis of electrical contact topologies to 2D materials that could further pave the way for high-performing and scalable transistor channels.
Intel brings new possibilities in energy efficiency and memory for higher-performing computing:
  • To use chip area more effectively, Intel redefines scaling by developing memory that can be placed vertically above transistors. In an industry first, Intel demonstrates stacked ferroelectric capacitors that match the performance of conventional ferroelectric trench capacitors and can be used to build FeRAM on a logic die.
  • An industry-first device-level model captures mixed phases and defects for improved ferroelectric hafnia devices, marking significant progress for Intel in supporting industry tools to develop novel memories and ferroelectric transistors.
  • Bringing the world one step closer to transitioning beyond 5G and solving the challenges of power efficiency, Intel is building a viable path to 300 millimeter GaN-on-silicon wafers. Intel breakthroughs in this area demonstrate a 20 times gain over industry standard GaN and sets an industry record figure-of-merit for high performance power delivery.
  • Intel is making breakthroughs on super-energy-efficient technologies, specifically transistors that don't forget, retaining data even when the power is off. Already, Intel researchers have broken two of three barriers keeping the technology from being fully viable and operational at room temperature.
Intel continues to introduce new concepts in physics with breakthroughs in delivering better qubits for quantum computing:
Intel researchers work to find better ways to store quantum information by gathering a better understanding of various interface defects that could act as environmental disturbances affecting quantum data.
Source: Intel
Add your own comment

62 Comments on Intel Research Fuels Moore's Law and Paves the Way to a Trillion Transistors by 2030

#1
Sabotaged_Enigma
This again proves that Huang's claim the law is dead is just an excuse to raise the price of their cards.
Posted on Reply
#2
DBGT
But Moore's Law isn't dead?
Posted on Reply
#3
Wirko
Have a look, Intel. No costly interposes, no hidden bridges, no funny stacks. Just some innovation (and SERIOUS cooling and power supply).

Posted on Reply
#4
Helper
DBGTBut Moore's Law isn't dead?
Technology moves, so fast... they come up with something, they come up with something else. You see, there's no law to this except one pulling the strings...

Posted on Reply
#5
Daven
Intel spent billions on R&D just to have massive CPU (SPR), GPU (Xe) and manufacturing (10 nm) delays. Big projects such as Larrabee, Itanium, Optane and Xeon Phi were total failures and cancelled. Their MobileEye division is going nowhere and they want to spin it off. Their 5G modem unit was sold to Apple because no one else wanted it.

Intel, you can take your R&D press release and shove it up your corporate a$$.
Posted on Reply
#6
usiname
So Intel claims that they will create 10x densier package than their 50-chip glued ponte vecchio by 2030? Hello Intel, you know that your 10nm decade later after your 14nm cannot be used for more than desktop CPUs, no server CPUs, no server GPUs or even HEDT? The same 10nm that is only 3 times densier than your 14nm. Another rapid delay on the way.
Posted on Reply
#7
ARF
Яid!culousOwOThis again proves that Huang's claim the law is dead is just an excuse to raise the price of their cards.
Huang is right. Intel lies and has false, dream expectations. Once the reality strikes, Intel will have to accept the reality.
Intel is having serious problems with its production nodes and such roadmaps are fake even today.
DBGTBut Moore's Law isn't dead?
It is dead and buried long time ago. Intel 10 nm is a proof.
Posted on Reply
#8
Bomby569
intel claims (as deadlines) at this point mean very little
Posted on Reply
#9
Helper
ARFHuang is right. Intel lies and has false, dream expectations. Once the reality strikes, Intel will have to accept the reality.
Intel is having serious problems with its production nodes and such roadmaps are fake even today.



It is dead and buried long time ago. Intel 10 nm is a proof.
Nope, both you and your savior of leather is wrong. Not even leather done by hand, full on CNC built cheap, glorified crap jacket. Just like his overpriced wasteland of silicone products.

Why would anybody think there's ever a rule to technology's advancement rate, that is beyond me. Without dialing in to religion, you can with logic tell that technology doesn't have a set rate of exponential growth.

The 5600g in my mini PC is a crapmetric ton times faster than my mobile 11th gen, while getting better TDP to IPC though. I'll agree on that.
Posted on Reply
#10
ARF
HelperNope, both you and your savior of leather is wrong. Not even leather done by hand, full on CNC built cheap, glorified crap jacket. Just like his overpriced wasteland of silicone products.

Why would anybody think there's ever a rule to technology's advancement rate, that is beyond me. Without dialing in to religion, you can with logic tell that technology doesn't have a set rate of exponential growth.

The 5600g in my mini PC is a crapmetric ton times faster than my mobile 11th gen, while getting better TDP to IPC though. I'll agree on that.
What exactly is your argument? :D

Look at Intel's manufacturing nodes cadence and you will see that Intel is screwed.

Intel 90 nm - 2004
Intel 65 nm - 2006
Intel 45 nm - 2008
Intel 32 nm - 2010
Intel 22 nm - 2012
Intel 14 nm - 2014
Intel 10 nm rebadged to Intel 7 - 2019
Intel 7 nm rebadged to Intel 4 - don't know when...
Posted on Reply
#11
ymdhis
I haven't kept track, are they still on 14nm+++?
Posted on Reply
#12
Helper
ARFWhat exactly is your argument? :D

Look at Intel's manufacturing nodes cadence and you will see that Intel is screwed.

Intel 90 nm - 2004
Intel 65 nm - 2006
Intel 45 nm - 2008
Intel 32 nm - 2010
Intel 22 nm - 2012
Intel 14 nm - 2014
Intel 10 nm rebadged to Intel 7 - 2019
Intel 7 nm rebadged to Intel 4 - don't know when...
I'm actually agreeing on the Intel part, I realize my mini PC's AMD CPU uses a node that is much smaller than what my Intel notebook uses, basically. Both of the PCs use notebook components. It happens to be whole lot more efficient per watt.

Regardless, there is no law to technological advancement rates. That was the point I was trying to make.

This so called "law" has been broken and re-set various times already in past. It only seems to fill a slow news day.
Posted on Reply
#13
Vya Domus
This is so misleading and superfluous, we're already getting pretty close the atomic level in terms of feature size in a chip, there is no smaller than that. So no, density wise there are not going to be any significant improvements going further, that's an immutable fact. Sure, you can try and pull all sorts of tricks with staking multiple and chips and whatnot but that can only take you so far. I still expect the semiconductor industry to hit a brick wall in the next decade, there's just no going around these fundamental limits.
Posted on Reply
#14
Daven
HelperI'm actually agreeing on the Intel part, I realize my mini PC's AMD CPU uses a node that is much smaller than what my Intel notebook uses, basically. Both of the PCs use notebook components. It happens to be whole lot more efficient per watt.

Regardless, there is no law to technological advancement rates. That was the point I was trying to make.

This so called "law" has been broken and re-set various times already in past. It only seems to fill a slow news day.
Moore’s law should have never been covered by the media except for a copy and paste Intel PR article when it was first regurgitated. It is nothing but an internal marketing plan that tech sites slurped up hook, line and sinker because it provided the media an easy sound bite to fill their pages.

In other words, I agree with you. Broken, reset and lied about in the media and by Intel many, many times. I can’t wait for the day when tech sites have the courage to stop reporting on this idiot ‘law’ and what was essentially a product roadmap from 1980s from one company that was cancelled almost as soon as it was released.
Posted on Reply
#15
Dirt Chip
Now let's talk about the cost of this research and how much it will cost to us :)
Posted on Reply
#16
Gica
Big upset, big. Are you afraid for AMD or what?
I don't know what will happen in the future, but it must be Taliban AMD to deny Intel's contribution in the progress so far. Current superpower processors are accessible to the general public and with their contribution. Their main contribution, I would say.
Posted on Reply
#17
ARF
1 T transistors when nvidia has just launched the N4 AD102 with only 0.0763 T transistors.
I call Intel's target physically and realistically impossible to happen by 2030.

Unless, Intel counts multi-CPU n-sockets as "1 T transistors".
GicaBig upset, big. Are you afraid for AMD or what?
I don't know what will happen in the future, but it must be Taliban AMD to deny Intel's contribution in the progress so far. Current superpower processors are accessible to the general public and with their contribution. Their main contribution, I would say.
The problem is that IBM wanted competition, and Intel did nothing but sabotaging IBM and enforcing monopoly.
Posted on Reply
#18
mechtech
ymdhisI haven't kept track, are they still on 14nm+++?
I thought is was 14nm+++++ ;)
Posted on Reply
#19
sepheronx
mechtechI thought is was 14nm+++++ ;)
Still lacking a few +'s there
Posted on Reply
#20
Vayra86
Bla bla bla but all we have today is a fabulous Intel node being raped a new asshole for some imaginary performance battle over a top end nobody really needs. Their whole E core approach is a major token of stagnation, not progress. They still build monolithic crap like they've done since forever.

Intel 'the innovator' peddling 13+ generations of 'Core' even way past expiry date, and unable to make a solid GPU to save their lives even with massive delays.

Yeah, Pat, you keep smoking those old socks you wear. You've been betting on a horse that is way past racing. Innovation happened despite Intel.
Posted on Reply
#21
Sabotaged_Enigma
ARFHuang is right. Intel lies and has false, dream expectations. Once the reality strikes, Intel will have to accept the reality.
Actually I don't really care about whether the law is dead or not. What I do see is that Huang is doing his best messing up the market and annoying the consumer.
I would stand against Nvidia forever just for all his doing in the past two years as well as the past twenty years. A businessman does his business, yes that's right. But when he tries to look innocent...
Posted on Reply
#22
Vayra86
ARFWhat exactly is your argument? :D

Look at Intel's manufacturing nodes cadence and you will see that Intel is screwed.

Intel 90 nm - 2004
Intel 65 nm - 2006
Intel 45 nm - 2008
Intel 32 nm - 2010
Intel 22 nm - 2012
Intel 14 nm - 2014
Intel 10 nm rebadged to Intel 7 - 2019
Intel 7 nm rebadged to Intel 4 - don't know when...
Who cares? Intel is not the semicon industry by any possible measure. There are competitors that did not get stuck at all and have just now moved to EUV patterning. Intel? They're working still on their own EUV sauce, trying to get into it to begin with to feed their next shrink. Intel '7' is still DUV, its laughable.
Posted on Reply
#23
bonehead123
Silicon, transistors, capacitors & their related ilk are sooooo 1960-ish.....

What we need is no moore duh-huh marketing speak & absurd, no-longer-relevant laws of the past 50+ years, and get some REAL forward-thinking people involved & come up with the next "big (small) thing", like, yesterday :D
Posted on Reply
#24
ARF
bonehead123Silicon, transistors, capacitors & their related ilk are sooooo 1960-ish.....

What we need is no moore duh-huh marketing speak & absurd, no-longer-relevant laws of the past 50+ years, and get some REAL forward-thinking people involved & come up with the next "big (small) thing", like, yesterday :D
I think we need software revolution, not hardware evolution.
We need something like unlimited detail technology activated for graphics.
Posted on Reply
#25
PrettyKitten800
Databasedgod
ARFHuang is right. Intel lies and has false, dream expectations. Once the reality strikes, Intel will have to accept the reality.
Intel is having serious problems with its production nodes and such roadmaps are fake even today.



It is dead and buried long time ago. Intel 10 nm is a proof.
So… you think the word of someone who’s company doesn’t *actually* manufacture anything is more reliable than the only company on the planet that can design AND manufacture its own products??

Bruh…. everyone commenting on this article is TRIPPING. Why are we hating on one of the very few companies that can ACTUALLY MANUFACTURE chips???

It’s great that other companies can design better architectures, but I’m pretty sure Qualcomm, AMD, Nvidia, Apple, Mediatek, Amazon, etc all rely on TSMC or Samsung to produce their designs.

I don’t see any companies other than TSMC, Intel, or Samsung trying to push the boundaries of chip manufacturing. Why all the hate on Intel for actually trying?
Posted on Reply
Add your own comment
May 5th, 2024 09:47 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts