Thursday, June 22nd 2023

Intel Expects to Beat TSMC at 2nm, Intel Foundry to Operate Almost as a Separate Business

Intel's integrated device manufacturing (IDM) has been experiencing a lot of trouble in recent years, and the company is not a leading-edge semiconductor manufacturer, with TSMC taking the pole position. However, the new restructuring hopes to change some of the business operations to increase its efficiency and establish Intel as the go-to foundry for customers. David Zinsner, Executive Vice President and the Chief Financial Officer, alongside Jason Grebe, Corporate Vice President & GM of the Corporate Planning Group at Intel, joined investors to explain how IDM will transform into a next-generation business. Intel IDM, including Intel Foundry Services (IFS), will get a new operation model, which will put IDM as an almost separate business unit with its own profit and loss (P&L) statement published in the quarterly/yearly financial report.

According to Intel, the company's IDM 1.0 strategy has been serving it well, but IDM 2.0 is needed to build next-generation nodes as the capital required for them is massive. Intel hopes to regain node leadership with the Intel 18A node in 2025. The company's strategy is still to have IFS as the second biggest external foundry business, presumably just behind TSMC. Putting IDM into its own P&L will result in $8-10 billion in "cost reduction opportunities, " including ramp rates, test time, and sort times based on the market pricing, not Intel's pricing. At the start, IDM is expected o start with a negative operating margin. Intel also states that keeping IFS as a business unit allows the company to simultaneously develop products on it and de-risk it for customers who want to build on IFS. The company is developing five different products (assuming packaging) on Intel 18A, all of which will be available for customers to use as well.
Jason Grebe continued to stress that there will be complete segregation of customer data and IP, with world-class service and support, so upcoming customers can get a sense of trust. David Zinsner was asked why Intel didn't just split into a fabless design business and a separate foundry business. He noted that they thought there is a lot of benefit of combining design and manufacturing business, especially as having Intel as customer zero, which can translate its knowledge of working with new technologies to other customers.

A pair of slides that caught our attention in the slide deck below, compare Intel's foundry node advancement to those of its competitors. It details how Intel maintained a technological and first-to-market lead over TSMC across their 90 nm-class, 65 nm-class, 45 nm-class, 32 nm-class, 22 nm-class, and 14 nm-class. The company's 10 nm node was significantly delayed, which gave TSMC 7 nm free reign over the next-generation market for over a year. I
Intel marks 10 nm (aka Intel 7) as significantly lagging behind TSMC 7 nm on time-to-market. TSMC 5 nm has already been in the market for over 3 quarters now, and it's only later in Q3 that Intel could release the first products based on the rival Intel 4 node ("Meteor Lake" compute tile). Intel 3 could similarly lag by a couple of quarters behind TSMC 3 nm, as could Intel 20A. It's at the 2 nm-class where Intel claims that if it executes the IFS and IDM 2.0 roadmap correctly, the Intel 18A foundry node should beat TSMC 2 nm-class nodes both technologically, and at time-to-market.

The complete slide deck follows.
Add your own comment

16 Comments on Intel Expects to Beat TSMC at 2nm, Intel Foundry to Operate Almost as a Separate Business

#1
Daven
It’s finally happening. Intel is realizing that no significant players will fab their chips at Intel foundries because they know Intel will steal IP and make uncompetitive moves against them.

Intel has to set up barriers between the foundry and chip businesses to improve trust that will inevitably lead to spinning off the fabs into another company.
Posted on Reply
#2
lemonadesoda
What a lot of slides to convince/backstory EU subsidy of Intel's new foundry in Germany. Hockey stick charts and Product Life Cycle extension graphics. That'll convince the investors!
Posted on Reply
#3
Vayra86
'Let's set a goalpost far into the future so we can delay it further and people keep believing'

Also... this. lmao. Such graph, many data

Posted on Reply
#4
TheoneandonlyMrK
Vayra86'Let's set a goalpost far into the future so we can delay it further and people keep believing'

Also... this. lmao. Such graph, many data

I can't believe they posted that.

And I think they're signing their own death toll.

So they're going to open up they're world second or third class fabbs, all at the same time several countries build new fabbs and despite the reality that other fabbs already sit in that space reliably churning out CHEAP chips.

Geniarse.
Posted on Reply
#5
Assimilator
"Intel Expects to Beat TSMC at 2nm"

And I expect it to rain literal cats and dogs. I honestly don't know who they're trying to convince with this BS that they keep spraying - the entire industry knows that Intel f**ked up 10nm, that their "Intel 7" process is still 10nm, and that "Intel 4" is just "Intel 7" with EUV (so... still 10nm). Then there's the fact that they farmed out the fabrication of their own GPUs to their arch-rival TSMC...

If Intel were smart (which they've proven that they're very obviously not) they'd fire their entire marketing team and switch to only announcing when they get something launched, as opposed to endlessly pumping out empty drivel that causes people to completely ignore when the company actually has something interesting to say.
Posted on Reply
#6
dyonoctis
Vayra86'Let's set a goalpost far into the future so we can delay it further and people keep believing'

Also... this. lmao. Such graph, many data

Seems like it's the only thing that they can do at this point...mocking Intel was fun for a while, but the whole foundry situation start to become really worrying. If they can't keep up, and get some clients, Intel will either:
- Try and compete on an inferior node (for a while, until they grow frustrated)
- Or pull an AMD, and become a TSMC client at 100% (which will happen if they can't somehow design an arch that is efficient enough to make up for the node disadvantage).

Meteor lake was supposed to be there nearly 2 CPU generation ago...and according to Raichu even Arrow lake is struggling. The future is looking really bright for Taiwan :D
Posted on Reply
#7
Assimilator
dyonoctisSeems like it's the only thing that they can do at this point...mocking Intel was fun for a while, but the whole foundry situation start to become really worrying. If they can't keep up, and get some clients, Intel will either:
- Try and compete on an inferior node (for a while, until they grow frustrated)
- Or pull an AMD, and become a TSMC client at 100% (which will happen if they can't somehow design an arch that is efficient enough to make up for the node disadvantage).

Meteor lake was supposed to be there nearly 2 CPU generation ago...and according to Raichu even Arrow lake is struggling. The future is looking really bright for Taiwan :D
Intel is never going to divest itself of its foundries, they're too much of a pride thing for the company. Over and above that there are tangible advantages to having your own foundries, in particular that you don't have to fight others for capacity.

Of course, if you don't have a functioning manufacturing process you don't have to fight over capacity anyway, sincev you're not producing anything; maybe that's Intel's rationale?
Posted on Reply
#8
AnotherReader
I wouldn't write Intel off that quickly. For a long time, they had a long lead over the rest of the industry. Skipping EUV and going for Cobalt in the smallest metal layers are widely suspected to be what led to the problems with Intel 7. Let's see if they can fix this with Intel 4. In any case, even if they deliver Intel 4 on time, they would still be behind TSMC which started mass production on N3 at the very end of 2022.
Posted on Reply
#9
Frank_100
Assimilator"Intel Expects to Beat TSMC at 2nm"

And I expect it to rain literal cats and dogs. I honestly don't know who they're trying to convince with this BS that they keep spraying - the entire industry knows that Intel f**ked up 10nm, that their "Intel 7" process is still 10nm, and that "Intel 4" is just "Intel 7" with EUV (so... still 10nm). Then there's the fact that they farmed out the fabrication of their own GPUs to their arch-rival TSMC...

If Intel were smart (which they've proven that they're very obviously not) they'd fire their entire marketing team and switch to only announcing when they get something launched, as opposed to endlessly pumping out empty drivel that causes people to completely ignore when the company actually has something interesting to say.
From what I've read:
None of the nodes are physically less then 20nm. (Intel, TMSC or Samsung)
FINFETs leak less then MOSFETs so they can be packed denser.
Then the advertisers can claim that the FINFET is at 14 nm because is has the expected performance of 14 nm MOSFETs.
Gate-all-around leak even less, but the transistors are actually larger then FINFETs...but(again) can be packed even tighter then FINFETs.
Those node numbers have been meaningless since 2010.

It is not that Intel could not make the "10nm" chips. They could not make them in sufficient quantity to satisfy the market and keep their fat profit margins.
Posted on Reply
#10
Assimilator
AnotherReaderI wouldn't write Intel off that quickly.
It's not quickly. The Intel "10nm" trainwreck has been going on for literally years and there's still no end in sight. There's also no indication that they've learned from their mistake with 10nm - there's very much the sense of the sunk cost fallacy completely taking over the foundry side of the company.
Posted on Reply
#11
AnotherReader
AssimilatorIt's not quickly. The Intel "10nm" trainwreck has been going on for literally years and there's still no end in sight. There's also no indication that they've learned from their mistake with 10nm - there's very much the sense of the sunk cost fallacy completely taking over the foundry side of the company.
I understand you skepticism and I share it too. They have to start making their processes available on time before anyone trusts them to even match TSMC let alone surpass them.
Posted on Reply
#12
bonehead123
Assimilator"Intel Expects to Beat TSMC at 2nm"
yup, good luck with that :D

maybe they should restart the whole "+, ++, +++, ++++++++......" thing again, perhaps that will yield them some successful product launches....hehehe :roll:
Posted on Reply
#14
Minus Infinity
Was there a shareholder meeting this week? Intel maybe be broke, but their PR spin-doctoring department has unlimited funds it seems to spread BS.

Take this with two buckets of salt.
Posted on Reply
#15
Fourstaff
Hope their engineering dept can keep up with their Investor Relations promises.
Posted on Reply
#16
Assimilator
Minus InfinityWas there a shareholder meeting this week? Intel maybe be broke, but their PR spin-doctoring department has unlimited funds it seems to spread BS.

Take this with two buckets of salt.
FourstaffHope their engineering dept can keep up with their Investor Relations promises.
When a company's marketing department starts making promises the engineers can't keep, it indicates significant rot at that company. In a company as big as Intel, that's a lot of rot... enough to make you wonder how long until the whole shambling edifice comes crashing down.
Posted on Reply
Add your own comment
May 16th, 2024 03:44 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts