News Posts matching #Silicon

Return to Keyword Browsing

Apple Preparing M4 Chips with AI Capabilities to Fight Declining Mac Sales

While everyone has been focused on shipping an AI-enhanced product recently, one tech giant didn't appear to be bothered- Apple. However, according to Mark Gurman from Bloomberg, Apple is readying an overhaul of its Apple Silicon M-series chips to embed AI processing capabilities at the processor level. As the report indicates, Apple is preparing an update for late 2024 and early 2025 with the M4 series of chips, which will reportedly feature AI processing units similar to those found in other commercial chips. There should be three levels of the M4 series, with the entry-level M4 codenamed Donan, the mid-level M4 chip codenamed Brava, and the high-end M4 chip codenamed Hydra.

Sales of Apple Macs peaked in 2022; the following year was a sharp decline, and sales have continued to be flat since. The new AI PCs for Windows-based systems have been generating hype from all major vendors, hoping to introduce AI features to end users. However, Apple wants to be part of the revolution, and the company has already scheduled the World Wide Developer Conference for June 10th. At WWDC this year, Apple is supposed to show a suite of AI-powered solutions to enable better user experience and increase productivity. With M4 chips getting AI enhancement, the WWDC announcements will get extra hardware accelerations. However, we must wait for the exact announcements before making further assumptions.

SK hynix Signs Investment Agreement of Advanced Chip Packaging with Indiana

SK hynix Inc., the world's leading producer of High-Bandwidth Memory (HBM) chips, announced today that it will invest an estimated $3.87 billion in West Lafayette, Indiana to build an advanced packaging fabrication and R&D facility for AI products. The project, the first of its kind in the United States, is expected to drive innovation in the nation's AI supply chain, while bringing more than a thousand new jobs to the region.

The company held an investment agreement ceremony with officials from Indiana State, Purdue University, and the U.S. government at Purdue University in West Lafayette on the 3rd and officially announced the plan. At the event, officials from each party including Governor of Indiana Eric Holcomb, Senator Todd Young, Director of the White House Office of Science and Technology Policy Arati Prabhakar, Assistant Secretary of Commerce Arun Venkataraman, Secretary of Commerce State of Indiana David Rosenberg, Purdue University President Mung Chiang, Chairman of Purdue Research Foundation Mitch Daniels, Mayor of city of West Lafayette Erin Easter, Ambassador of the Republic of Korea to the United States Hyundong Cho, Consul General of the Republic of Korea in Chicago Junghan Kim, SK vice chairman Jeong Joon Yu, SK hynix CEO Kwak Noh-Jung and SK hynix Head of Package & Test Choi Woojin, participated.

Silicon Box Announces $3.6 Billion Foundry Deal - New Facility Marked for Northern Italy

Silicon Box, a cutting-edge, advanced panel-level packaging foundry announced its intention to collaborate with the Italian government to invest up to $3.6 billion (€3.2 billion) in Northern Italy, as the site of a new, state-of-the-art semiconductor assembly and test facility. This facility will help meet critical demand for advanced packaging capacity to enable next generation technologies that Silicon Box anticipates by 2028. The multi-year investment will replicate Silicon Box's flagship foundry in Singapore which has proven capability and capacity for the world's most advanced semiconductor packaging solutions, then expand further into 3D integration and testing. When completed, the new facility will support approximately 1,600 Silicon Box employees in Italy. The construction of the facility is also expected to create several thousand more jobs, including eventual hiring by suppliers. Design and planning for the facility will begin immediately, with construction to commence pending European Commission approval of planned financial support by the Italian State.

As well as bringing the most advanced chiplet integration, packaging, and testing to Italy, Silicon Box's manufacturing process is based on panel-level-production; a world leading, first-of-its-kind combination that is already shipping product to customers from its Singapore foundry. Through the investment, Silicon Box has plans for greater innovation and expansion in Europe, and globally. The new integrated production facility is expected to serve as a catalyst for broader ecosystem investments and innovation in Italy, as well as the rest of the European Union.

Marvell Announces Industry's First 2 nm Platform for Accelerated Infrastructure Silicon

Marvell Technology, Inc., a leader in data infrastructure semiconductor solutions, is extending its collaboration with TSMC to develop the industry's first technology platform to produce 2 nm semiconductors optimized for accelerated infrastructure.

Behind the Marvell 2 nm platform is the company's industry-leading IP portfolio that covers the full spectrum of infrastructure requirements, including high-speed long-reach SerDes at speeds beyond 200 Gbps, processor subsystems, encryption engines, system-on-chip fabrics, chip-to-chip interconnects, and a variety of high-bandwidth physical layer interfaces for compute, memory, networking and storage architectures. These technologies will serve as the foundation for producing cloud-optimized custom compute accelerators, Ethernet switches, optical and copper interconnect digital signal processors, and other devices for powering AI clusters, cloud data centers and other accelerated infrastructure.

SSD Overclocking? It can be Done, with Serious Performance Gains

The PC master race has yielded many interesting activities for enthusiasts alike, with perhaps the pinnacle of activities being overclocking. Usually, subjects for overclocking include CPUs, GPUs, and RAM, with other components not actually being capable of overclocking. However, the enthusiast force never seems to settle, and today, we have proof of overclocking an off-the-shelf 2.5-inch SATA III NAND Flash SSD thanks to Gabriel Ferraz, a Computer Engineering graduate, and TechPowerUp's SSD database maintainer. He uses the RZX Pro 256 GB SSD in the video, a generic NAND Flash drive. The RZX Pro uses the Silicon Motion SM2259XT2 single-core, 32-bit ARC CPU running up to 550 MHz. It has two channels at 400 MHz, each with eight chip enable interconnects, allowing up to 16 NAND Flash dies to operate. The SSD doesn't feature a DRAM cache or support a host memory buffer. It has only one NAND Flash memory chip from Kioxia, uses BiCS FLASH 4 architecture, has 96 layers, and has 256 GB capacity.

While this NAND Flash die is rated for up to 400 MHz or 800 MT/s, it only ran at less than half the speed at 193.75 MHz or 387.5 MT/s at default settings. Gabriel acquired a SATA III to USB 3.0 adapter with a JMS578 bridge chip to perform the overclock. This adapter allows hot swapping of SSDs without the need to turn off the PC. He shorted two terminals in the drive's PCB to get the SSD to operate without its default safe mode. Mass Production Tools (MPTools), which OEMs use to flash SSDs, were used to change the firmware settings. Each NAND Flash architecture has its own special version of MPTools. The software directly shows control of the Flash clock, CPU clock, and output driving. However, additional tweaks like Flash IO driving with subdivisions need modifications. Control and Flash On-Die Termination (ODT) and Schmitt window trigger (referring to the Schmitt trigger comparator circuit) also needed a few modifications to make it work.

Synopsys to Acquire Ansys, Creating a Leader in Silicon to Systems Design Solutions

Synopsys (NASDAQ: SNPS) and Ansys (NASDAQ: ANSS) today announced that they have entered into a definitive agreement under which Synopsys will acquire Ansys. Under the terms of the agreement, Ansys shareholders will receive $197.00 in cash and 0.3450 shares of Synopsys common stock for each Ansys share, representing an enterprise value of approximately $35 billion based on the closing price of Synopsys common stock on December 21, 2023. Bringing together Synopsys' pioneering semiconductor electronic design automation (EDA) with Ansys' broad simulation and analysis portfolio will create a leader in silicon to systems design solutions.

"The megatrends of AI, silicon proliferation and software-defined systems are requiring more compute performance and efficiency in the face of growing, systemic complexity. Bringing together Synopsys' industry-leading EDA solutions with Ansys' world-class simulation and analysis capabilities will enable us to deliver a holistic, powerful and seamlessly integrated silicon to systems approach to innovation to help maximize the capabilities of technology R&D teams across a broad range of industries," said Sassine Ghazi, President and CEO of Synopsys. "This is the logical next step for our successful, seven-year partnership with Ansys and I look forward to working closely with Ajei and the talented Ansys team to realize the benefits of this combination for our customers, shareholders and employees."

Huawei Still Ships 5 nm TSMC Chips in its Laptops, Despite US Sanctions

According to the latest teardown from TechInsights, China's biggest technology maker, Huawei, has been shipping laptops with technology supposedly sanctioned by the United States. As the teardown shows, TechInisights has discovered that Huawei's Kirin 9006C processor is manufactured on TSMC's 5 nm semiconductor technology. Originally, the United States have imposed sanctions on Huawei back in 2020, when the government cut off Huawei's access from TSMC's advanced facilities and forbade the use of the latest nodes by Huawei's HiSilicon chip design arm. Today's findings show signs of contradiction, as the Qingyun L540 notebook that launched in December 2023 employs a Kirin 9006C chipset manufactured on a TSMC 5 nm node.

TechInsight's findings indicate that Kirin 9006C assembly and packaging occurred around the third quarter of 2020, whereas the 2020 Huawei sanctions started in the second quarter. Of course, the implication of the sanctions likely prohibited any new orders and didn't prevent Huawei from possibly stockpiling millions of chip orders in its warehouse before they took place. The Chinese giant probably made orders beforehand and is using the technology only now, with the Qingyun L540 laptop being one of the first Kirin 9006C appearances. Some online retailers also point out that the laptop complies with the latest security practices required for the government, which means that they have been in the works since the chip began the early stages of design, way before 2020. We don't know the stockpile quantity, but SMIC's domestic efforts seem insufficient to supply the Chinese market alone. The news that Huawei is still using TSMC chips made SMIC's share go for a 2% free fall on the Hong Kong stock exchange.

Sound from Ultrasound: Audio Pioneer xMEMS' New Silicon Speaker Reinvents How Humans Experience Sound

xMEMS Labs, pioneers in solid-state, all-silicon micro speakers, today announced a revolutionary breakthrough in sound reproduction, changing the way mass-market, true wireless stereo (TWS) earbuds create ultra high-quality, high-resolution sound experiences across all audio frequencies.

With the introduction of its groundbreaking new Cypress solid-state MEMS speaker, xMEMS engineers have replaced legacy push-air sound reproduction with the company's ultrasonic amplitude modulation transduction principle. Ultrasonic modulation turns ultrasonic air pulses into rich, detailed, bass-heavy, high-fidelity sound, representing the first no-compromise alternative to the moving-coil concept for high-volume consumer active noise canceling (ANC) earbud micro speakers.

Jabil to Take Over Intel Silicon Photonics Business

Jabil Inc., a global leader in design, manufacturing, and supply chain solutions, today announced it will take over the manufacture and sale of Intel's current Silicon Photonics-based pluggable optical transceiver ("module") product lines and the development of future generations of such modules.

"This deal better positions Jabil to cater to the needs of our valued customers in the data center industry, including hyperscale, next-wave clouds, and AI cloud data centers. These complex environments present unique challenges, and we are committed to tackling them head-on and delivering innovative solutions to support the evolving demands of the data center ecosystem," stated Matt Crowley, Senior Vice President of Cloud and Enterprise Infrastructure at Jabil. "This deal enables Jabil to expand its presence in the data center value chain."

US Government Can't Stop Chinese Semiconductor Advancement, Notes Former TSMC VP

The Chinese semiconductor industry is advancing, and interestingly, it is growing rapidly under sanctions, even with the blacklisting of companies by the US government. China's semiconductor industry is mainly represented by companies like Semiconductor Manufacturing International Corp (SMIC) and Huawei Technologies, who are leading the investment and progress in both chip manufacturing and chip design. According to the latest interview with Bloomberg, former TSMC Vice President Burn J. Lin said that the US government and its sanctions can not stop the advancement of Chinese semiconductor companies. Currently, Lin notes that SMIC and Huawei can use older machinery to produce more advanced chips.

Even so, SMIC could progress to 5 nm technology using existing equipment, particularly with scanners and other machinery from ASML. Development under sanctions would also force China to experiment with new materials and other chip packaging techniques that yield higher performance targets. SMIC has already developed a 7 nm semiconductor manufacturing node, which Huawei used for its latest Mate 60 Pro smartphone, based on Huawei's custom HiSilicon Kirin 9000S chip. Similarly, the transition is expected to happen to the 5 nm node as well, and it is only a matter of time before we see other nodes appear. "It is just not possible for the US to completely prevent China from improving its chip technology," noted Burn J. Lin.

Arm and Synopsys Strengthen Partnership to Accelerate Custom Silicon on Advanced Nodes

Synopsys today announced it has expanded its collaboration with Arm to provide optimized IP and EDA solutions for the newest Arm technology, including the Arm Neoverse V2 platform and Arm Neoverse Compute Subsystem (CSS). Synopsys has joined Arm Total Design where Synopsys will leverage their deep design expertise, the Synopsys.ai full-stack AI-driven EDA suite, and Synopsys Interface, Security, and Silicon Lifecycle Management IP to help mutual customers speed development of their Arm-based CSS solutions. The expanded partnership builds on three decades of collaboration to enable mutual customers to quickly develop specialized silicon at lower cost, with less risk and faster time to market.

"With Arm Total Design, our aim is to enable rapid innovation on Arm Neoverse CSS and engage critical ecosystem expertise at every stage of SoC development," said Mohamed Awad, senior vice president and general manager, Infrastructure Line of Business at Arm. "Our deep technical collaboration with Synopsys to deliver pre-integrated and validated IP and EDA tools will help our mutual customers address the industry's most complex computing challenges with specialized compute."

Avicena Demonstrates First microLED Based Transceiver IC in 16 nm finFET CMOS for Chip-to-Chip Communications

Avicena, a privately held company headquartered in Sunnyvale, CA, is demonstrating its LightBundle multi-Tbps chip-to-chip interconnect technology at the European Conference for Optical Communications (ECOC) 2023 in Glasgow, Scotland (https://www.ecocexhibition.com/). Avicena's microLED-based LightBundle architecture breaks new ground by unlocking the performance of processors, memory and sensors, removing key bandwidth and proximity constraints while simultaneously offering class leading energy efficiency.

"As generative AI continues to evolve, the role of high bandwidth-density, low-power and low latency interconnects between xPUs and HBM modules cannot be overstated", says Chris Pfistner, VP Sales & Marketing of Avicena. "Avicena's innovative LightBundle interconnects have the potential to fundamentally change the way processors connect to each other and to memory because their inherent parallelism is well-matched to the internal wide and slow bus architecture within ICs. With a roadmap to multi-terabit per second capacity and sub-pJ/bit efficiency these interconnects are poised to enable the next era of AI innovation, paving the way for even more capable models and a wide range of AI applications that will shape the future."

Intel Innovation 2023: Bringing AI Everywhere

As the world experiences a generational shift to artificial intelligence, each of us is participating in a new era of global expansion enabled by silicon. It's the "Siliconomy," where systems powered by AI are imbued with autonomy and agency, assisting us across both knowledge-based and physical-based tasks as part of our everyday environments.

At Intel Innovation, the company unveiled technologies to bring AI everywhere and to make it more accessible across all workloads - from client and edge to network and cloud. These include easy access to AI solutions in the cloud, better price performance for Intel data center AI accelerators than the competition offers, tens of millions of new AI-enabled Intel PCs shipping in 2024 and tools for securely powering AI deployments at the edge.

TSMC, Broadcom & NVIDIA Alliance Reportedly Set to Advance Silicon Photonics R&D

Taiwan's Economic Daily reckons that a freshly formed partnership between TSMC, Broadcom, and NVIDIA will result in the development of cutting-edge silicon photonics. The likes of IBM, Intel and various academic institutes are already deep into their own research and development processes, but the alleged new alliance is said to focus on advancing AI computer hardware. The report cites a significant allocation of—roughly 200—TSMC staffers onto R&D involving the integration of silicon photonic technologies into high performance computing (HPC) solutions. They are very likely hoping that the usage of optical interconnects (on a silicon medium) will result in greater data transfer rates between and within microchips. Other benefits include longer transmission distances and a lower consumption of power.

TSMC vice president Yu Zhenhua has placed emphasis on innovation, in a similar fashion to his boss, within the development process (industry-wide): "If we can provide a good silicon photonics integrated system, we can solve the two key issues of energy efficiency and AI computing power. This will be a new one...Paradigm shift. We may be at the beginning of a new era." The firm is facing unprecedented demand from its clients—it hopes to further expand its advanced chip packaging capacity to address these issues by late 2024. A shift away from the limitations of "conventional electric" data transmissions could bring next generation AI compute GPUs onto the market by 2025.

Worldwide Silicon Wafer Shipments Rise in Q2 2023

Worldwide silicon wafer shipments increased 2.0% quarter-over-quarter to 3,331 million square inches in the second quarter of 2023, down 10.1% from the 3,704 million square inches recorded during the same quarter last year, the SEMI Silicon Manufacturers Group (SMG) reported in its quarterly analysis of the silicon wafer industry.

"The semiconductor industry continues to work through excess inventory in various market segments, necessitating that fabs operate below full capacity," said Anna-Riikka Vuorikari-Antikainen, Chairman of SEMI SMG and Chief Commercial Officer at Okmetic. "As a result, silicon wafer shipments are lagging their 2022 peak. Second-quarter wafer shipments held steady quarter-on-quarter with 300 mm showing quarterly growth among all wafer sizes."

Silicon Box Opens US$2 Billion Advanced Semiconductor Assembly Plant in Singapore

Somewhat out of the blue, Silicon Box has announced the opening of its US$2 billion semiconductor assembly plant in Singapore. The "startup" is founded by several of Marvell's founders, suggesting the company has the right pedigree to compete in what is sure to be a very competitive market over the next few years. Silicon Box is not a foundry and will at least at this point in time, not be involved in foundry services, but instead the company will focus on advanced chip packaging technologies, focusing on chiplets.

The company is promising "faster time-to-market, lower new device design cost" on its very rudimentary website, something the company has yet to prove to be capable of. However, its new plant in Singapore covers 73,000 square metres and is said to feature state of the art production equipment for turning chiplets into chips. The factory is said to create some 1,200 jobs in Singapore, suggesting that this is a company that means business. According to a comment by company founder and CEO BJ Han to Reuters, "customers had been lining up" since before the completion of the assembly plant. Silicon Box is expecting to have several AI chipset companies as its customers, including Tenstorrent, which so far is the only officially mentioned client. Time will tell if Silicon Box can compete with established chip packaging businesses and if they can deliver on their promise to be faster and cheaper than the competition.

Major CSPs Aggressively Constructing AI Servers and Boosting Demand for AI Chips and HBM, Advanced Packaging Capacity Forecasted to Surge 30~40%

TrendForce reports that explosive growth in generative AI applications like chatbots has spurred significant expansion in AI server development in 2023. Major CSPs including Microsoft, Google, AWS, as well as Chinese enterprises like Baidu and ByteDance, have invested heavily in high-end AI servers to continuously train and optimize their AI models. This reliance on high-end AI servers necessitates the use of high-end AI chips, which in turn will not only drive up demand for HBM during 2023~2024, but is also expected to boost growth in advanced packaging capacity by 30~40% in 2024.

TrendForce highlights that to augment the computational efficiency of AI servers and enhance memory transmission bandwidth, leading AI chip makers such as Nvidia, AMD, and Intel have opted to incorporate HBM. Presently, Nvidia's A100 and H100 chips each boast up to 80 GB of HBM2e and HBM3. In its latest integrated CPU and GPU, the Grace Hopper Superchip, Nvidia expanded a single chip's HBM capacity by 20%, hitting a mark of 96 GB. AMD's MI300 also uses HBM3, with the MI300A capacity remaining at 128 GB like its predecessor, while the more advanced MI300X has ramped up to 192 GB, marking a 50% increase. Google is expected to broaden its partnership with Broadcom in late 2023 to produce the AISC AI accelerator chip TPU, which will also incorporate HBM memory, in order to extend AI infrastructure.

Intel, German Government Agree on Increased Scope for Wafer Fabrication Site in Magdeburg

Intel and the German federal government have signed a revised letter of intent for Intel's planned leading-edge wafer fabrication site in Magdeburg, the capital of Saxony-Anhalt state in Germany. The agreement encompasses Intel's expanded investment in the site, now expected to be more than 30 billion euros for two first-of-a-kind semiconductor facilities (also known as "fabs") in Europe, along with increased government support that includes incentives, reflecting the expanded scope and change in economic conditions since the site was first announced.

Intel acquired the land for the project in November 2022, and the first facility is expected to enter production in four to five years following the European Commission's approval of the incentive package. Given the current timeline and scale of the investment, Intel plans to deploy more advanced Angstrom-era technology in the facilities than originally envisioned. The Magdeburg site will serve Intel products and Intel Foundry Services customers.

Intel's New Chip to Advance Silicon Spin Qubit Research for Quantum Computing

Today, Intel announced the release of its newest quantum research chip, Tunnel Falls, a 12-qubit silicon chip, and it is making the chip available to the quantum research community. In addition, Intel is collaborating with the Laboratory for Physical Sciences (LPS) at the University of Maryland, College Park's Qubit Collaboratory (LQC), a national-level Quantum Information Sciences (QIS) Research Center, to advance quantum computing research.

"Tunnel Falls is Intel's most advanced silicon spin qubit chip to date and draws upon the company's decades of transistor design and manufacturing expertise. The release of the new chip is the next step in Intel's long-term strategy to build a full-stack commercial quantum computing system. While there are still fundamental questions and challenges that must be solved along the path to a fault-tolerant quantum computer, the academic community can now explore this technology and accelerate research development."—Jim Clarke, director of Quantum Hardware, Intel

Applied Materials Launches Multibillion-Dollar R&D Platform in Silicon Valley to Accelerate Semiconductor Innovation

Applied Materials, Inc. today announced a landmark investment to build the world's largest and most advanced facility for collaborative semiconductor process technology and manufacturing equipment research and development (R&D). The new Equipment and Process Innovation and Commercialization (EPIC) Center is planned as the heart of a high-velocity innovation platform designed to accelerate development and commercialization of the foundational technologies needed by the global semiconductor and computing industries.

To be located at an Applied campus in Silicon Valley, the multibillion-dollar facility is designed to provide a breadth and scale of capabilities that is unique in the industry, including more than 180,000 square feet - more than three American football fields - of state-of-the-art cleanroom for collaborative innovation with chipmakers, universities and ecosystem partners. Designed from the ground up to accelerate the pace of introducing new manufacturing innovations, the new EPIC Center is expected to reduce the time it takes the industry to bring a technology from concept to commercialization by several years, while simultaneously increasing the commercial success rate of new innovations and the return on R&D investments for the entire semiconductor ecosystem.

Oppo Closes Chip Design Unit Zeku

Following the news that Oppo is likely to pull out of the French market, it now appears that the company has closed its chip design unit named Zeku. According to the South China Morning Post, workers at Zeku got less than a day's notice that the company was shutting down, which was on Thursday last week. Employees weren't even allowed back into the office to collect their personal belongings. As recent as two weeks ago, Zeku was still looking for new hires, which makes the abrupt closure even more peculiar, especially as Zeku is said to have employed upwards of 3,000 people.

Considering that Oppo is ranked as the fourth largest smartphone maker in terms of globally shipped units in Q1, the way the company has handled the closure of its chip design unit reeks of desperation. It should be noted that Zeku wasn't designing chips to power Oppo's phones, but presumably Zeku was behind the MariSilicon X, which was Oppo's own imaging co-processor. In all fairness, Oppo is far from the only company to have closed down business units this year, but the company is also said to be suffering in terms of shipments of smartphones, as the company saw a drop of 22 percent in shipments last year, to 103 million units. This appears to be part of the reason behind the shutdown of Zeku, but Oppo hasn't provided much in terms of details behind the closure.

Intel to Demonstrate PowerVia on E-Core Processor Built with Intel 4 Node

At VLSI Symposium 2023, scheduled to take place between June 11-16, Intel is set to demonstrate its PowerVia technology working efficiently on an E-Core chip built using the Intel 4 node. Conventional chips have power and signal interconnects distributed across multiple metal layers. PowerVia, on the other hand, dedicates specific layers for power delivery, effectively separating them from the signal routing layers. This approach allows for vertical power delivery through a set of power-specific Through-Silicon Vias (TSVs) or PowerVias, which are essentially vertical connections between the top and bottom surfaces of the chip. By delivering power directly from the backside of the chip, PowerVia reduces power supply noise and resistive losses, optimizing power distribution and improving overall energy efficiency. PowerVia is set to make a debut in 2024 with Intel 20A node.

For VLSI Symposium 2023 talk, the company has prepared a paper that highlights a design made using Intel 4 technology and implements E-Cores only in a test chip. The document states: "PowerVia Technology is a novel innovation to extend Process Scaling by having Power Delivery on the backside. This paper presents the pre and post silicon findings from implementing an Intel E-Core in PowerVia Technology. PowerVia enabled standard cell utilization of greater than 90 percent in large areas of the core while showing greater than 5 percent frequency benefit in silicon due reduced IR drop. Successful Post silicon debug is demonstrated with slightly higher but acceptable throughput times. The thermal characteristics of the PowerVia testchip is inline with higher power densities expected from logic scaling."

Microsoft Said to be Designing its own Arm SoC to Compete with Apple Silicon

According to Tom's Hardware, Microsoft is busy hiring engineers to help the company design its own Arm based SoC for Windows 12. Based on job listings, Microsoft is adding people to its "Silicon team," which is currently involved in designing products for Microsoft's Azure, Xbox Surface and HoloLens products. That said, the specific job listings mentioned by Tom's Hardware mentions "optimizing Windows 12 for Silicon-ARM architecture" suggesting we're looking at a custom Arm SoC, with others mentioning "internally developed silicon components" and "building complex, state-of-the-art SOCs using leading silicon technology nodes and will collaborate closely with internal customers and partners."

That said, Microsoft is currently working with Qualcomm and the Microsoft SQ3 found in the Surface Pro 9 is the latest result of that partnership. This brings the question if Microsoft has decided to make its own chip to compete with the Apple M-series of silicon, or if Microsoft is simply looking at working closer with Qualcomm by hiring inhouse talent that can help tweak the Qualcomm silicon to better suit its needs. With Windows 12 scheduled for a 2024 release, it looks like we'll have to wait a while longer to find out what Microsoft is cooking up, but regardless of what it is, it looks like Windows on Arm isn't going anywhere.

MIT Researchers Grow Transistors on Top of Silicon Wafers

MIT researchers have developed a groundbreaking technology that allows for the growth of 2D transition metal dichalcogenide (TMD) materials directly on fully fabricated silicon chips, enabling denser integrations. Conventional methods require temperatures of about 600°C, which can damage silicon transistors and circuits as they break down above 400°C. The MIT team overcame this challenge by creating a low-temperature growth process that preserves the chip's integrity, allowing 2D semiconductor transistors to be directly integrated on top of standard silicon circuits. The new approach grows a smooth, highly uniform layer across an entire 8-inch wafer, unlike previous methods that involved growing 2D materials elsewhere before transferring them to a chip or wafer. This process often led to imperfections that negatively impacted device and chip performance.

Additionally, the novel technology can grow a uniform layer of TMD material in less than an hour over 8-inch wafers, a significant improvement from previous methods that required over a day for a single layer. The enhanced speed and uniformity of this technology make it suitable for commercial applications, where 8-inch or larger wafers are essential. The researchers focused on molybdenum disulfide, a flexible, transparent 2D material with powerful electronic and photonic properties ideal for semiconductor transistors. They designed a new furnace for the metal-organic chemical vapor deposition process, which has separate low and high-temperature regions. The silicon wafer is placed in the low-temperature region while vaporized molybdenum and sulfur precursors flow into the furnace. Molybdenum remains in the low-temperature region, while the sulfur precursor decomposes in the high-temperature region before flowing back into the low-temperature region to grow molybdenum disulfide on the wafer surface.

TSMC Certifies Ansys Multiphysics Solutions for TSMC's N2 Silicon Process

Ansys and TSMC continue their long-standing technology collaboration to announce the certification of Ansys' power integrity software for TSMC's N2 process technology. The TSMC N2 process, which adopts nanosheet transistor structure, represents a major advancement in semiconductor technology with significant speed and power advantages for high performance computing (HPC), mobile chips, and 3D-IC chiplets. Both Ansys RedHawk-SC and Ansys Totem are certified for power integrity signoff on N2, including the effects of self-heat on long-term reliability of wires and transistors. This latest collaboration builds on the recent certification of the Ansys platform for TSMC's N4 and N3E FinFLEX processes.

"TSMC works closely with our Open Innovation Platform (OIP) ecosystem partners to help our mutual customers achieve the best design results with the full stack of design solutions on TSMC's most advanced N2 process," said Dan Kochpatcharin, head of the Design Infrastructure Management Division at TSMC. "Our latest collaboration with Ansys RedHawk-SC and Totem analysis tools allows our customers to benefit from the significant power and performance improvements of our N2 technology while ensuring predictively accurate power and thermal signoff for the long-term reliability of their designs."
Return to Keyword Browsing
Apr 16th, 2024 17:19 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts