News Posts matching #ASML

Return to Keyword Browsing

ASML Could Stay in the Netherlands with Further Investments and Create 20,000 New Jobs

Last month, we covered ASML's plans to leave the Netherlands after a crisis with the Dutch government that prevented skilled immigrants from entering and working inside ASML's facilities. However, it appears that ASML has managed to strike a potential deal with the Netherlands Prime Minister Mark Rutte and his office about the company's plans to stay in the country. In an effort dubbed "Operation Beethoven," the Dutch government aimed to keep the tech giant in the country, with a deal now seemingly in place. AMSL's roadblocks and reasons for potentially leaving the Netherlands were difficulty in obtaining building permits, constraints on the electrical grid, transportation bottlenecks, and a need for supporting infrastructure like hospitals, schools, and housing. The most prominent of them was importing foreign labor in the form of highly skilled engineers and scientists needed to develop next-generation lithography machines.

According to the NLTimes, ASML now plans to potentially expand in the Brainport Industries Campus (BIC) in Eindhoven, with a creation of 20,000 new jobs in a 2.5 billion Euro investment from the Dutch government. "BIC is an interesting option for us, which we are now exploring together with the municipality of Eindhoven," noted ASML CFO Roger Dassen. Given that ASML needs to double its operations in the following decade to meet soaring demand, the company has many uncertainties. Questions of finding skilled immigrants and building infrastructure to support their needs remain the company's priority. In the Summer, the plan to support ASML's expansion will be voted in the Eindhoven City Council, which will decide the fate of ASML's stay in the Netherlands. An interesting comment from January from AMSL CEO Peter Wennik is, "Ultimately, we can only grow this company if there are enough qualified people. We prefer to do that here, but if we cannot get those people here, we will get those people in Eastern Europe or in Asia or in the United States. Then we will have to go there." The final decision still awaits.

ASML reports €5.3 billion total net sales and €1.2 billion net income in Q1 2024

Today, ASML Holding NV (ASML) has published its 2024 first-quarter results.
  • Q1 total net sales of €5.3 billion, gross margin of 51.0%, net income of €1.2 billion
  • Quarterly net bookings in Q1 of €3.6 billion of which €656 million is EUV
  • ASML expects Q2 2024 total net sales between €5.7 billion and €6.2 billion, and a gross margin between 50% and 51%
  • ASML expects 2024 total net sales to be similar to 2023
CEO statement and outlook
"Our first-quarter total net sales came in at €5.3 billion, at the midpoint of our guidance, with a gross margin of 51.0% which is above guidance, primarily driven by product mix and one-offs. We expect second-quarter total net sales between €5.7 billion and €6.2 billion with a gross margin between 50% and 51%. ASML expects R&D costs of around €1,070 million and SG&A costs of around €295 million. Our outlook for the full year 2024 is unchanged, with the second half of the year expected to be stronger than the first half, in line with the industry's continued recovery from the downturn. We see 2024 as a transition year with continued investments in both capacity ramp and technology, to be ready for the turn in the cycle," said ASML President and Chief Executive Officer Peter Wennink.

China's President Believes Nation's Technological Development Unhindered, Despite Equipment Restrictions

Earlier today, Dutch Prime Minister Mark Rutte met with China's President Xi Jinping—fresh reportage has focused on their discussion of technological trade restrictions. Holland's premier had to carefully navigate the conversation around recent global tensions, most notably the prevention of fancy ASML chipmaking equipment reaching the Chinese mainland. CCTV (China's state broadcaster) selected a couple of choice quotes for inclusion in an online report—Xi remarked that: "the Chinese people also have the right to legitimate development, and no force can stop the pace of China's scientific and technological development and progress." Specific manufacturers and types of machinery were not mentioned during the meeting between state leaders, but media interpretations point to recent ASML debacles being entirely relevant, given the context of international relationships.

ASML is keen to keep Chinese firms on its order books—according to AP News: "China became ASML's second-largest market, accounting for 29% of its revenue as firms bought up equipment before the licensing requirement took effect." Revised licensing agreements have stymied the supply of ASML most advanced chipmaking tools—Chinese foundries have resorted to upgrading existing/older equipment (backed by government funding) in efforts to stay competitive with international producers. Semiconductor Manufacturing International Corporation (SMIC) is reportedly racing to get natively designed EUV machines patented (in co-operation with Huawei). Post-meeting, Rutte commented (to press) on the ongoing technology restrictions: "what I can tell you is that... when we have to take measures, that they are never aimed at one country specifically, that we always try to make sure that the impact is limited, is not impacting the supply chain, and therefore is not impacting the overall economic relationship."

Huawei and SMIC Prepare Quadruple Semiconductor Patterning for 5 nm Production

According to Bloomberg's latest investigation, Huawei and Semiconductor Manufacturing International Corporation (SMIC) have submitted patents on the self-aligned quadruple patterning (SAQP) pattern etching technique to enable SMIC to achieve 5 nm semiconductor production. The two Chinese giants have been working with the Deep Ultra Violet (DUV) machinery to develop a pattern etching technique allowing SMIC to produce a node compliant with the US exporting rules while maintaining the density improvements from the previously announced 7 nm node. In the 7 nm process, SMIC most likely used self-aligned dual patterning (SADP) with DUV tools, but for the increased density of the 5 nm node, a doubling to SAQP is required. In semiconductor manufacturing, lithography tools take multiple turns to etch the design of the silicon wafer.

Especially with smaller nodes getting ever-increasing density requirements, it is becoming challenging to etch sub-10 nm designs using DUV tools. That is where Extreme Ultra Violet (EUV) tools from ASML come into play. With EUV, the wavelengths of the lithography printers are 14 times smaller than DUV, at only 13.5 nm, compared to 193 nm of ArF immersion DUV systems. This means that without EUV, SMIC has to look into alternatives like SAQP to increase the density of its nodes and, as a result, include more complications and possibly lower yields. As an example, Intel tried to use SAQP in its first 10 nm nodes to reduce reliance on EUV, which resulted in a series of delays and complications, eventually pushing Intel into EUV. While Huawei and SMIC may develop a more efficient solution for SAQP, the use of EUV is imminent as the regular DUV can not keep up with the increasing density of semiconductor nodes. Given that ASML can't ship its EUV machinery to China, Huawei is supposedly developing its own EUV machines, but will likely take a few more years to show.

ASML Celebrates First Installation of Twinscan NXE:3800E Low-NA EUV Litho Tool

ASML celebrated an important milestone last week—the company's social media account shared news about their third generation extreme ultraviolet (EUV) lithography tool reaching an unnamed customer: "chipmakers have a need for speed! The first Twinscan NXE:3800E is now being installed in a chip fab. 🔧 With its new wafer stages, the system will deliver leading edge productivity for printing advanced chips. We're pushing lithography to new limits." The post included a couple of snaps—ASML workers were gathered in front of a pair of climatized containers, and Peter Wennink (President and CEO) and Christophe Fouquet (EVP and CBO) thanked staff at company HQ.

The Twinscan NXE:3800E is ASML's latest platform from a series of 0.33 numerical aperture (Low-NA) lithography scanners. Information is scarce—the company has not yet published a 3800E product page. The preceding model—Twinscan NXE:3600D—supports EUV volume production at 3 and 5 nm. ASML roadmaps imply that the Twinscan NXE:3800E has been designed to produce chips on 2 and 3 nm-class technologies. The company's cutting-edge High-NA extreme ultraviolet (EUV) chipmaking tools (High-NA Twinscan EXE) are expected to cost around $380 million—reports from last month point to a possible $183 million price point for "existing Low-NA EUV lithography systems." Another Low-NA EUV machine is reported to be lined up for a possible 2026 release window—ASML's next-gen Twinscan NXE:4000F model will co-exist alongside emerging (pricier) High-NA solutions.

SMIC Prepares for 3 nm Node Development, Requires Chinese Government Subsidies

SMIC, China's largest semiconductor manufacturer, is reportedly assembling a dedicated team to develop 3 nm semiconductor node technology, following reports of the company setting up 5 nm chip production for Huawei later this year. This move is part of SMIC's efforts to achieve independence from foreign companies and reduce its reliance on US technology. According to a report from Joongang, SMIC's initial goal is to commence operations of its 5 nm production line, which will mass-produce Huawei chipsets for various products, including AI silicon. However, SMIC is already looking beyond the 5 nm node. The company has assembled an internal research and development team to begin work on the next-generation 3 nm node.

The Chinese manufacturer is expected to accomplish this using existing DUV machinery, as ASML, the sole supplier of advanced EUV technology, is prohibited from providing equipment to Chinese companies due to US restrictions. It is reported that one of the biggest challenges facing SMIC is the potential for low yields and high production costs. The company is seeking substantial subsidies from the Chinese government to overcome these obstacles. Receiving government subsidies will be crucial for SMIC, especially considering that its 5 nm chips are expected to be up to 50 percent more expensive than TSMC's due to the use of older DUV equipment. The first 3 nm wafers from SMIC are not expected to roll out for several years, as the company will prioritize the commercialization of Huawei's 5 nm chips. This ambitious undertaking by SMIC represents a significant challenge for the company as it strives to reduce its dependence on foreign semiconductor technology and establish itself as an essential player in the global manufacturing industry.

ASML's Future Growth in Netherlands Uncertain Amid Immigration Concerns

Chipmaking manufacturing equipment giant ASML has expressed concerns about staying in the Netherlands and considering expansion into other countries due to its home country's capped possibilities. On Wednesday, ASML executives met with Netherlands Prime Minister Mark Rutte to discuss the company's growth plans. The meeting, however, failed to fully resolve ASML's concerns surrounding the country's stance on skilled foreign labor, leaving uncertainty over the tech giant's expansion in its home market. Being one of the world's largest suppliers to chipmakers, ASML has said it needs to double its operations in the following decade to meet soaring demand. However, the company is hitting roadblocks in the Netherlands, including difficulty obtaining building permits, constraints on the electrical grid, transportation bottlenecks, and a need for supporting infrastructure like hospitals, schools, and housing. A key issue is the Netherlands' ability to attract scarce foreign engineering talent, with over 40% of ASML's Dutch workforce being non-Dutch. Recent parliamentary motions to cap international students and scrap a tax break for skilled migrants have met with criticism from ASML and other tech employers.

In an effort dubbed "Operation Beethoven," the Dutch government is scrambling to address ASML's concerns and prevent the company from expanding abroad, having already seen multinationals like Shell and Unilever leave their home country in recent years. However, ASML CEO Peter Wennink said that while the company prefers to grow in the Netherlands, it can do so elsewhere if needed. The situation comes amid pressure from the US for allies like the Netherlands to tighten restrictions on China's further access to semiconductor technology. As the sole producer of extreme ultraviolet (EUV) lithography machines crucial for advanced chipmaking, like High-NA and Low-NA, ASML holds strategic importance beyond just economics. With a new right-wing Dutch government being formed, whether a compromise can be reached to ensure ASML's continued growth in the Netherlands remains to be seen. The tech giant's decision could significantly affect the Dutch economy and its position in the global chip industry.

ASML Expresses Concern About Geopolitical Tensions

The publication of ASML's 2023 Annual Report has revealed some interesting insights into how the photolithography producer remains diplomatic in times of global tension. Peter Wennink (President, Chief Executive Officer and Chair of the Board of Management) discussed his company's carefully considered tightrope act—here is his message to stakeholders: "In 2023, demand for our DUV systems continued to be strong, particularly in China. During the previous two years, our Chinese customers had received significantly fewer systems than they had ordered, due to global demand for our systems exceeding supply. However, the shifts in demand timing from other customers that we experienced in 2023 meant that we had the opportunity to backfill these orders for mature and midcritical nodes to China, while of course complying with export regulations." ASML is seemingly keen to continue doing business with Chinese customers, despite having to juggle with strict international trade rulings—as revealed in their financial report, trade in this region accounts for "26.3% of our 2023 total net sales." This places China in a second tier position, just behind Taiwan (29.3% of 2023 total net sale).

ASML was expecting to deliver a grand total of 600 DUV equipment units to Chinese customers by the end of 2025, but trade restriction adjustments nixed that avenue of business. The report's "Strategy and products" section highlights the company's concerns about narrowed lanes: "Geopolitical tensions may result in export control restrictions, trade sanctions, tariffs and more generally international trade regulations which may impact our ability to deliver our systems, technology, and services." China's leading foundry—Semiconductor Manufacturing International Corp (SMIC)—is reportedly targeting a 5 nm process node, although this would require a major readjustment of its existing collection of (older) lithography equipment. SMIC's flagship Shanghai location cannot upgrade to the most advanced DUV machinery in ASML's catalog, therefore workers are reliant on slightly antiquated gear (previously tasked with 7 nm manufacturing)—low yields and added expense are the anticipated headaches.

ASML High-NA EUV Twinscan EXE Machines Cost $380 Million, 10-20 Units Already Booked

ASML has revealed that its cutting-edge High-NA extreme ultraviolet (EUV) chipmaking tools, called High-NA Twinscan EXE, will cost around $380 million each—over twice as much as its existing Low-NA EUV lithography systems that cost about $183 million. The company has taken 10-20 initial orders from the likes of Intel and SK Hynix and plans to manufacture 20 High-NA systems annually by 2028 to meet demand. The High-NA EUV technology represents a major breakthrough, enabling an improved 8 nm imprint resolution compared to 13 nm with current Low-NA EUV tools. This allows chipmakers to produce transistors that are nearly 1.7 times smaller, translating to a threefold increase in transistor density on chips. Attaining this level of precision is critical for manufacturing sub-3 nm chips, an industry goal for 2025-2026. It also eliminates the need for complex double patterning techniques required presently.

However, superior performance comes at a cost - literally and figuratively. The hefty $380 million price tag for each High-NA system introduces financial challenges for chipmakers. Additionally, the larger High-NA tools require completely reconfiguring chip fabrication facilities. Their halved imaging field also necessitates rethinking chip designs. As a result, adoption timelines differ across companies - Intel intends to deploy High-NA EUV at an advanced 1.8 nm (18A) node, while TSMC is taking a more conservative approach, potentially implementing it only in 2030 and not rushing the use of these lithography machines, as the company's nodes are already developing well and on time. Interestingly, the installation process of ASML's High-NA Twinscan EXE 150,000-kilogram system required 250 crates, 250 engineers, and six months to complete. So, production is as equally complex as the installation and operation of this delicate machinery.

TSMC Allegedly Not Rushing into Adoption of High-NA EUV Machinery

DigiTimes Asia has reached out to insiders at fabrication toolmakers in an effort to delve deeper into claims made by industry analysts at the start of 2024—both SemiAnalysis and China Renaissance have proposed that TSMC is unlikely to adopt High-NA EUV production techniques within a five year period. The latest news article explores a non-upgrade approach for the next couple of years: "TSMC has not placed orders for high-numerical aperture (High-NA) extreme ultraviolet (EUV) tools and is unlikely to use the technology in 2 nm and 1.4 nm (A14) process manufacturing." Intel Foundry Services (IFS) will be one of the first semiconductor manufacturers to go online with ASML's latest and greatest machinery, although no firm timeframes have been confirmed. Team Blue's Taiwanese rival (and occasional business partner) is seemingly happy with its existing infrastructure, but industry watchdogs propose that cost considerations are key factors behind TSMC's cautious planning for the next decade.

The DigiTimes insider sources believe that TSMC will not budge until at least 2029, possibly coinciding with a 1 nm production node—analysts at China Renaissance reckon that High-NA EUV machines could be delivered in the future when facilities are readied for an "A10" codenamed process. TSMC published a very ambitious "transistor count" product timeline in early January (see below)—the first "1 nm" products are supposedly targeted for a 2030 rollout, but this schedule could change due to unforeseen circumstances. Intel is expected to "phase in" its fanciest ASML gear collection once the 18A process becomes old hat—Tom's Hardware thinks that 2026 - 2027 is a feasible timeframe.

Canon Wants to Challenge ASML with a Cheaper 5 nm Nanoimprint Lithography Machine

Japanese tech giant Canon hopes to shake up the semiconductor manufacturing industry by shipping new low-cost nanoimprint lithography (NIL) machines as early as this year. The technology, which stamps chip designs onto silicon wafers rather than using more complex light-based etching like market leader ASML's systems, could allow Canon to undercut rivals and democratize leading-edge chip production. "We would like to start shipping this year or next year...while the market is hot. It is a very unique technology that will enable cutting-edge chips to be made simply and at a low cost," said Hiroaki Takeishi, head of Canon's industrial group overseeing nanoimprint lithography technological advancement. Nanoimprint machines target a semiconductor node width of 5 nanometers, aiming to reach 2 nm eventually. Takeishi said the technology has primarily resolved previous defect rate issues, but success will depend on convincing customers that integration into existing fabrication plants is worthwhile.

There is skepticism about Canon's ability to significantly disrupt the market led by ASML's expensive but sophisticated extreme ultraviolet (EUV) lithography tools. However, if nanoimprint can increase yields to nearly 90% at lower costs, it could carve out a niche, especially with EUV supply struggling to meet surging demand. Canon's NIL machines are supposedly 40% the cost of ASML machinery, while operating with up to 90% lower power draw. Initially focusing on 3D NAND memory chips rather than complex processors, Canon must contend with export controls limiting sales to China. But with few options left, Takeishi said Canon will "pay careful attention" to sanctions risks. If successfully deployed commercially after 15+ years in development, Canon's nanoimprint technology could shift the competitive landscape by enabling new players to manufacture leading-edge semiconductors at dramatically lower costs. But it remains to be seen whether the new machines' defect rates, integration challenges, and geopolitical headwinds will allow Canon to disrupt the chipmaking giants it aims to compete with significantly.

ASML reports €27.6 Billion Net Sales and €7.8 Billion Net Income in 2023

Today, ASML Holding NV (ASML) has published its 2023 fourth quarter and full-year results.
  • Q4 net sales of €7.2 billion, gross margin of 51.4%, net income of €2.0 billion
  • Quarterly net bookings in Q4 of €9.2 billion of which €5.6 billion is EUV
  • 2023 net sales of €27.6 billion, gross margin of 51.3%, net income of €7.8 billion
  • ASML expects 2024 net sales to be similar to 2023
  • ASML expects Q1 2024 net sales between €5.0 billion and €5.5 billion and a gross margin between 48% and 49%
CEO statement and outlook
"Our fourth-quarter net sales came in at €7.2 billion with a gross margin of 51.4%, both slightly above our guidance. ASML achieved another strong year in 2023 with 30% growth, ending with total net sales for the year of €27.6 billion, a gross margin of 51.3% and a backlog of €39 billion. We shipped the first modules of the first High NA EUV system, EXE:5000, to a customer before the end of the year."

ASML Supervisory Board Intends to Appoint Christophe Fouquet as President and CEO

Today the Supervisory Board of ASML Holding NV (ASML) announces that it intends to appoint Christophe Fouquet, currently ASML's Chief Business Officer and member of the Board of Management, as the company's next President and Chief Executive Officer. The appointment is subject to notification of the Annual General Meeting of Shareholders on April 24, 2024. On the same date, ASML's Co-Presidents Peter Wennink and Martin van den Brink will retire from ASML upon completion of their current appointment terms.

Nils Andersen, Chairman of the Supervisory Board, said: "The Supervisory Board, together with the management team, has gone through a comprehensive succession planning process. With Christophe, we have identified a very experienced leader with deep understanding of ASML's technology and the semiconductor industry ecosystem - acquired through different roles at ASML and other companies - and the right leadership qualities and culture fit. We are grateful and full of admiration for the immense contributions that Peter and Martin have made over decades, helping to shape ASML into the successful company that it is today. Peter and Martin have been preparing ASML for the future, and we know they will be fully engaged in securing a smooth transition for the company and all of ASML's stakeholders."

ASML to Add 600 DUV Machines to China's Semiconductor Manufacturing Capacity by 2025

Thanks to the TMTPost interview with the Global Vice President and China President of ASML, Shen Bo, the Dutch semiconductor equipment manufacturer has revealed that around 1,400 of its deep ultraviolet (DUV) lithography and metrology machines are currently installed in China. The company is expected to achieve a global output of 600 DUV equipment units by the end of 2025. Shen Bo stated that the company aims to install 500-600 units of DUV machinery in China by late 2025 or early 2026. The growth in ASML's Chinese revenues was notably high, with China contributing 46% of the company's system sales in 3Q 2023, representing an 82% revenue increase from the previous quarter.

China plans to build 25 12-inch wafer fabs in the next five years, covering logic wafers, DRAM, and MEMS production. ASML currently has a substantial presence in China, with 16 offices, 12 warehouses, distribution centers, development centers, training centers, and maintenance centers. The company employs over 1,600 people for its China operations. Despite the export restrictions imposed by the US government, ASML anticipates that the new measures will have little impact on its financial outlook for 2023 as it strives to meet the growing demand for semiconductor manufacturing equipment in the global market.

US Government Can't Stop Chinese Semiconductor Advancement, Notes Former TSMC VP

The Chinese semiconductor industry is advancing, and interestingly, it is growing rapidly under sanctions, even with the blacklisting of companies by the US government. China's semiconductor industry is mainly represented by companies like Semiconductor Manufacturing International Corp (SMIC) and Huawei Technologies, who are leading the investment and progress in both chip manufacturing and chip design. According to the latest interview with Bloomberg, former TSMC Vice President Burn J. Lin said that the US government and its sanctions can not stop the advancement of Chinese semiconductor companies. Currently, Lin notes that SMIC and Huawei can use older machinery to produce more advanced chips.

Even so, SMIC could progress to 5 nm technology using existing equipment, particularly with scanners and other machinery from ASML. Development under sanctions would also force China to experiment with new materials and other chip packaging techniques that yield higher performance targets. SMIC has already developed a 7 nm semiconductor manufacturing node, which Huawei used for its latest Mate 60 Pro smartphone, based on Huawei's custom HiSilicon Kirin 9000S chip. Similarly, the transition is expected to happen to the 5 nm node as well, and it is only a matter of time before we see other nodes appear. "It is just not possible for the US to completely prevent China from improving its chip technology," noted Burn J. Lin.

ASML Reports €6.7 Billion Net Sales and €1.9 Billion Net Income in Q3 2023

Today ASML Holding NV (ASML) has published its 2023 third-quarter results.
  • Q3 net sales of €6.7 billion, gross margin of 51.9%, net income of €1.9 billion
  • Quarterly net bookings in Q3 of €2.6 billion of which €0.5 billion is EUV
  • ASML expects Q4 2023 net sales between €6.7 billion and €7.1 billion and a gross margin between 50% and 51%
  • ASML confirms its expectation to grow net sales towards 30% in 2023
CEO statement and outlook
"Our third-quarter net sales came in at €6.7 billion, around the midpoint of our guidance, with a gross margin of 51.9%, higher than guided, primarily driven by the DUV product mix and some one-off costs effects. "The semiconductor industry is currently working through the bottom of the cycle and our customers expect the inflection point to be visible by the end of this year. Customers continue to be uncertain about the shape of the demand recovery in the industry. We therefore expect 2024 to be a transition year. Based on our current perspective, we take a more conservative view and expect a revenue number similar to 2023. But we also look at 2024 as an important year to prepare for significant growth that we expect for 2025.

ASML Issues Statement Regarding New US government's Export Control Regulations

Today, the US authorities published the updated version of the advanced computing and semiconductor manufacturing equipment rule, imposing additional restrictions on export of advanced chip manufacturing technology. These regulations will become effective after a period of 30 days. Given the length and complexity of the regulations, ASML will need to carefully assess any potential implications. However, as to our business, from the information we received, it is our understanding that the new regulations will be applicable to a limited number of fabs in China related to advanced semiconductor manufacturing.

These export control measures will likely have an impact on the regional split of our systems sales in the medium to long term. However, we do not expect these measures to have a material impact on our financial outlook for 2023 and for our longer-term scenarios for 2025 and 2030, as communicated during our Investor Day in November 2022. ASML will seek further clarification from the US authorities on the scope of these new regulations. ASML is fully committed to comply with all applicable laws and regulations including export control legislation in the countries in which we operate.

TSMC Reportedly Tells Vendors to Delay Fab Equipment Deliveries

Reuters appears to be following every (internal) step that TSMC takes—their latest report suggests that company leadership has "told its major suppliers to delay the delivery of high-end chip making equipment." Two anonymous sources believe that execs are anxious about a predicted decrease in customer demand, and cost control plans have been implemented as a temporary measure. ASML is allegedly one of the vendors affected by TSMC's decision making.

Reuters conducted an interview with ASML CEO Peter Wennink a week prior—he acknowledged that some orders for high-end tools had been pushed back, without naming specific client identities, but the situation should resolve itself shortly. He stated that it was a mere "short-term management" issue: "we've had several (news) reports about fab readiness. Not only in Arizona... but also in Taiwan." ASML is reported to be operating at maximum capacity, and overall sales are forecast to grow 30% this financial year.

ASML's First Pilot Tool for Next-gen Products to be Delivered in 2023

ASML's CEO, Peter Wennink, has announced that his team will be shipping out the first pilot tool (a high-NA EUV system) in its next product line before the end of this year. Reuters reports that supply chain problems have caused hold-ups along the way, but the Dutch multinational corporation is confident in delivering its next-gen opening salvo—these high numerical aperture EUV machines are large enough to warrant transportation via truck, and their per unit cost is over €300 million (~$322 million). The most demanding of chipmakers will be snapping up ASML's behemoth apparatuses in order to produce improved (i.e. smaller) chips over the next ten years.

Wennink spoke to Reuters at an industry event (that took place in Eindhoven): "A few suppliers had some difficulties in actually ramping up and also giving us the right level of technological quality, so that led to some delay. But in fact the first shipment is still this year." The CEO expects to see a growth in revenue thanks to burgeoning interest in AI-oriented silicon—new manufacturing facilities in Arizona and Taiwan are primed to adopt high-NA EUV machines in 2024. Key clients will be experimenting with these new machines (EXE:5200), before a full push into commercial production—logic chip makers have demanded that they get priority access over memory manufacturers. Intel has made declarations, in the recent past, that its foundries are first in line to receive ASML's latest and greatest tools.

ASML reports €6.9 billion net sales and €1.9 billion net income in Q2 2023

Today ASML Holding NV (ASML) has published its 2023 second-quarter results.
  • Q2 net sales of €6.9 billion, gross margin of 51.3%, net income of €1.9 billion
  • Quarterly net bookings in Q2 of €4.5 billion of which €1.6 billion is EUV
  • ASML expects Q3 2023 net sales between €6.5 billion and €7.0 billion and a gross margin of around 50%
  • ASML expects 2023 net sales growth towards 30% compared to 2022
CEO statement and outlook
"Our second-quarter net sales came in at €6.9 billion, at the high end of our guidance, with a gross margin of 51.3%, higher than guided, primarily driven by additional DUV immersion revenue in the quarter. "Our customers across different market segments are currently more cautious due to continued macro-economic uncertainties, and therefore expect a later recovery of their markets. Also, the shape of the recovery slope is still unclear. However, our strong backlog of around €38 billion provides us with a good basis to navigate these short-term uncertainties.

ASML Issues Statement Regarding Dutch Export Control Regulations

Today the Dutch government has published the new regulations regarding export controls of semiconductor equipment. As announced earlier in March, the new export controls focus on advanced chip manufacturing technology, including the most advanced deposition and immersion lithography systems.

Due to these export control regulations, ASML will need to apply for export licenses with the Dutch government for all shipments of its most advanced immersion DUV lithography systems (TWINSCAN NXT:2000i and subsequent immersion systems). The Dutch government will determine whether to grant or deny the required export licenses and provide further details to the company on any conditions that apply.

YMTC Using Locally Sourced Equipment for Advanced 3D NAND Manufacturing

According to the South China Morning Post (SCMP) sources, Yangtze Memory Technologies Corp (YMTC) has been plotting to manufacture its advanced 3D NAND flash using locally sourced equipment. As the source notes, YMTC has placed big orders from local equipment makers in a secret project codenamed Wudangshan, named after the Taoist mountain in the company's home province of Hubei. Last year, YTMC announced significant progress towards creating 200+ layer 3D NAND flash before other 3D NAND makers like Micron and SK Hynix. Called X3-9070, the chip is a 232-layer 3D NAND based on the company's advanced Xtacking 3.0 architecture.

As the SCMP finds, YTMC has placed big orders at Beijing-based Naura Technology Group, maker of etching tools and competitor to Lam Research, to manufacture its advanced flash memory. Additionally, YTMC has reportedly asked all its tool suppliers to remove all logos and other marks from equipment to avoid additional US sanctions holding the development back. This significant order block comes after the state invested 7 billion US Dollars into YTMC to boost its production capacity, and we see the company utilizing those resources right away. However, few industry analysts have identified a few "choke points" in YTMC's path to independent manufacturing, as there are still no viable domestic alternatives to US-based tool makers in areas such as metrology tools, where KLA is the dominant player, and lithography tools, where ASML, Nikon, and Canon, are noteworthy. The Wuhan-based Wudangshan project remains secret about dealing with those choke points in the future.

ASML Announces 2023 First Quarter Financial Results

Today, ASML Holding NV (ASML) has published its 2023 first quarter results.

The company reported Q1 net sales of €6.7 billion, with gross margin of 50.6%, and net income of €2.0 billion. Quarterly net bookings in Q1 2023 were at €3.8 billion of which €1.6 billion is EUV. ASML expects Q2 2023 net sales between €6.5 billion and €7.0 billion and a gross margin between 50% and 51%. ASML expects 2023 net sales to grow over 25% compared to 2022.

NVIDIA, ASML, TSMC and Synopsys Set Foundation for Next-Generation Chip Manufacturing

NVIDIA today announced a breakthrough that brings accelerated computing to the field of computational lithography, enabling semiconductor leaders like ASML, TSMC and Synopsys to accelerate the design and manufacturing of next-generation chips, just as current production processes are nearing the limits of what physics makes possible.

The new NVIDIA cuLitho software library for computational lithography is being integrated by TSMC, the world's leading foundry, as well as electronic design automation leader Synopsys into their software, manufacturing processes and systems for the latest-generation NVIDIA Hopper architecture GPUs. Equipment maker ASML is working closely with NVIDIA on GPUs and cuLitho, and is planning to integrate support for GPUs into all of its computational lithography software products.

Netherlands Government Sets Restrictions on Chip Exports, ASML Responds

Today the Dutch government has published more information on upcoming restrictions on export of semiconductor equipment. These new export controls focus on advanced chip manufacturing technology, including the most advanced deposition and immersion lithography tools. Due to these upcoming regulations, ASML will need to apply for export licenses for shipment of the most advanced immersion DUV systems.

It will take time for these controls to be translated into legislation and take effect. Based on today's announcement, our expectation of the Dutch government's licensing policy, and the current market situation, we do not expect these measures to have a material effect on our financial outlook that we have published for 2023 or for our longer-term scenarios as announced during our Investor Day in November last year.

Return to Keyword Browsing
Apr 25th, 2024 19:13 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts