Tuesday, July 27th 2021

Intel Rebadges 10nm Enhanced SuperFin Node as "Intel 7," Invents Other Creative Node Names

Intel, in a move comparable to its competitors' Performance Rating system from the 1990s, has invented a new naming scheme for its in-house foundry nodes to claim technological parity with contemporaries such as TSMC and Samsung, that are well into the sub-10 nm class. Back in the i586 era, when Intel's competitors such as AMD and Cyrix, couldn't keep up with its clock-speeds yet found their chips to be somewhat competitive, they invented the PR (processor rating) system, with a logical number attempting to denote parity with an Intel processor's clock-speed. For example, a PR400 processor rating meant that the chip rivaled a Pentium II 400 MHz (which it mostly didn't). The last that the PR system made sense was with the final generation of single-core performance chips, Pentium 4 and Athlon XP, beyond which, the introduction of multi-core obfuscated the PR system. A Phenom X4 9600 processor didn't mean performance on par with a rival Intel chip running at an impossible 9.60 GHz.

Intel's new foundry naming system sees its 10 nm Enhanced SuperFin node re-badge as "Intel 7." The company currently builds 11th Gen Core "Tiger Lake" processors on the 10 nm SuperFin node, and is expected to build its upcoming 12th Gen Core "Alder Lake" chips on its refinement, the 10 nm Enhanced SuperFin, which will now be referred to as "Intel 7." The company is careful to avoid using the nanometer unit next to the number, instead signaling the consumer that the node somehow offers transistor density and power characteristics comparable to a 7 nm node. Intel 7 offers a 10-15 percent performance/Watt gain over 10 nm SuperFin, and is already in volume production, with a debut within 2021 with "Alder Lake."
This is where things get interesting. The successor to Intel 7 is named Intel 4, and is technically a 7 nm EUV node. This node offers a 20 percent performance/Watt gain over Intel 7 (aka 10 nm Enhanced SuperFin), and will debut in mid-2022 with "Meteor Lake" client- and "Granite Rapids" enterprise processors. Intel has gone with "4" for the name as 2022 sees both Samsung and TSMC roll out their sub-5 nm nodes. TSMC will debut the 4 nm, while Samsung will hopefully iron out its 5 nm yield issues, and ramp up 4 nm, by 2022.
Intel 3 succeeds Intel 4 in the second half of 2023, and is timed to launch around the time TSMC comes out with its sub-4 nm node, likely the 2 nm. Intel claims this node offers an 18 percent performance/Watt gain over the Intel 4, implement a denser HP library, increase the use of EUV, improve the drive-current and via resistance, to result in the performance/Watt target. With no mention of FET size, it's very likely that Intel 3 is still a 7 nm node.
It's only in 2024 that Intel is promising major technological breakthroughs, with Intel 20A, heralding the era in silicon fabrication where transistor sizes are measured in Angstroms (0.1 nm). 20A would hence be a creative way of saying 2 nm. Intel will introduce a brand new transistor design it calls the RibbonFET. It remains to be seen if this is a whole new innovation or similar to nanosheet FETs. Intel is also announcing PowerVia, a revolutionary new way to connect silicon dies with each other, or with the package, which debuts with the Intel 20A node. The company is targeting a 1H-2024 debut of this new node.

With these, Intel is ensuring that it has a new node to offer each year leading up to 2024, each with a double-digit percent performance/Watt gain, so the company can restore something resembling its "Tick-Tock" product development cadence, enabling it to compete not just against AMD, but also the emergence of serious Arm-powered rivals, such as NVIDIA, Qualcomm, and Apple. The company is hence facing similar levels of competition as the early 1990s. x86 may no longer have a stranglehold over the PC, as Arm-powered rivals claw away at market-share with efficient and fairly-powerful chips.

The complete slide-deck follows.
Source: VideoCardz
Add your own comment

101 Comments on Intel Rebadges 10nm Enhanced SuperFin Node as "Intel 7," Invents Other Creative Node Names

#2
the54thvoid
Intoxicated Moderator
10nm with red go-faster stripes.
Posted on Reply
#3
Makaveli
Looks like someone in marketing spoke up.
Posted on Reply
#4
Unregistered
waste of time really, still might confuse avg consumers
Posted on Edit | Reply
#5
bonehead123
wait, what.... no +++++++++++++ series this time around ???

How dare they :D
Posted on Reply
#6
londiste
Come on, why the bashy tone? We have known for a long while that Intel's node naming after 14nm is out of sync with competitors.
With all the bullshit in the piece, this should be at least marked as Editorial.
Performance Rating is wrong comparison, pure and simple.
10 nm Enhanced SuperFin, which will now be referred to as "Intel 7." The company is careful to avoid using the nanometer unit next to the number, instead signaling the consumer that the node somehow offers transistor density and power characteristics comparable to a 7 nm node.
Which, by all indications, it does. Also probably comparable to TSMC/Samsung 7nm node evolutions that are called some variation of 6nm.
This is where things get interesting. The successor to Intel 7 is named Intel 4, and is technically a 7 nm EUV node. This node offers a 20 percent performance/Watt gain over Intel 7 (aka 10 nm Enhanced SuperFin), and will debut in mid-2022 with "Meteor Lake" client- and "Granite Rapids" enterprise processors. Intel has gone with "4" for the name as 2022 sees both Samsung and TSMC roll out their sub-5 nm nodes. TSMC will debut the 4 nm, while Samsung will hopefully iron out its 5 nm yield issues, and ramp up 4 nm, by 2022.
It is technically an Intel 7nm EUV node, which is comparable to TSMC's and Samsung's 5nm node.
Also, reducing the number by a little or lately by one is common enough for TSMC and Samsung to denote advancements on existing node, basically the same as Intel's use of +. Fo example, TSMC already has a node called N4 (in the family of 5nm nodes).
Posted on Reply
#7
Sihastru
They're not wrong in doing this. The "Xnm" moniker means nothing anymore, it isn't in any way representative of the transistors' geometry, it's just a purely commercial/marketing term. What matters is the density you can achieve on a process node, and in terms of density, Intel's 10nm is superior to both TSMC's 7nm and Samsung's 7nm.

Intel "10nm" - 100.76 MTr/mm2
Samsung "7nm" - 95.08 MTr/mm2
TSMC "7nm" - 91.2 MTr/mm2

TSMC has the smaller "nm" number and yet the worst density of all. Seems disingenuous if you ask me.

Just to make my point even stronger:

TSMC "10nm" - 52.51 MTr/mm2
Samsung "10nm" - 51.82 MTr/mm2

If anyone is trying to fool us is TSMC and Samsung. Intel should've aligned their naming scheme ages ago.

EDIT: Samsung 7nm
Posted on Reply
#8
londiste
SihastruIntel "10nm" - 100.76 MTr/mm2
Samsung "7nm" - 95.08 MTr/mm2
TSMC "7nm" - 91.2 MTr/mm2

TSMC has the smallest "nm" number and yet the worst density of all. Seems disingenuous if you ask me.
So, about that.
These numbers are probably from SRAM cells and the high density/low power variation of the node. Basically maximum possible number which does not necessarily mean any real usage in that range.
In High performance variations density suffers. A lot. GPUs are probably the easiest way to get real-ish numbers, for example RDNA2 GPUs are around 50-51 MTr/mm2. RDNA1 was 40-41 MTr/mm2 (both an older version of N7 and likely RDNA2 gains some density from huge cache).

Edit:
Also, your quote has Samsung's 7nm number.
Samsung 8nm is an older 10nm half-node evolution with claimed density of 61.18 MTr/mm2.
The Samsung 8nm variation used for Nvidia Ampere GPUs has in that application density of 44-45MTr/mm2.
Posted on Reply
#9
Vya Domus
londisteCome on, why the bashy tone? We have known for a long while that Intel's node naming after 14nm is out of sync with competitors.
Because that didn't really matter when competitors came out with working nodes ready for mass production and Intel didn't.
Posted on Reply
#10
Sihastru
Yes, my numbers are "stolen" from wikichip and represent raw cell-level density, so a best case scenario. We don't really have a common architecture implemented on all process nodes, so, we can't really compare anything else than these theoretical numbers. But, as you say, density suffers on complex chip designs, but it is the case for all process nodes, it's not like TSMC's 7nm is magic... The extent of the suffering, that's difficult to guestimate. Even if Intel's 10nm would be the most affected, it still has a 10%-ish initial advantage. Good catch on the Samsung 7nm.
Posted on Reply
#11
defaultluser
I will east my own hair if Intel actually has 7nm EUV ready to go one year from now. Even if they "Technically" have it out there, I expect it to be a tiny trickle (like Cannon Lake).

2023 is more like it!
Posted on Reply
#12
dj-electric
The idea that there's more than just name change for the sake of doing what TSMC and Samsung does is utterly botched by TPU this time.
Something I would usually do via DMs might as well get typed here.
This was uploaded a single hour before NDA lifted on all of the actually important and interesting bits. Was this stuff not transferred to TPU? odd.
Posted on Reply
#13
Unregistered
people think the sun shines out of TSMC's ass basically, nothing Intel does is as good.
Posted on Edit | Reply
#14
Aquinus
Resident Wat-man
Gruffalo.Soldierpeople think the sun shines out of TSMC's ass basically, nothing Intel does is as good.
I think that people have just grown impatient waiting for Intel's 10nm chips. At least they're coming and I'm sure that whatever Intel produces will speak for itself.
Posted on Reply
#15
Cruise51
There is a problem with naming nodes this way. The marketing guys will try pushing deceptive titles. If the engineers protest the title, they will be ignored and/or fired.
Posted on Reply
#16
Caring1
Gruffalo.Soldierwaste of time really, still might confuse avg consumers
That is the point, confuse the average consumer and saturate the market.
Posted on Reply
#17
mtcn77
I think the OP has just a time frame variance since Intel was marketing the FinFET design progress even during the first 22nm and second 14nm nodes which have not been mentioned here. It is not new, if this wasn't emphasized for punchline effect.
Posted on Reply
#18
TheoneandonlyMrK
I'm surprised it took this long for Intel to usurp Tsmc, the easy way, because they said so.

Dammmmn Intel ,the ball's on you people.:p :D
Posted on Reply
#19
Why_Me
Caring1That is the point, confuse the average consumer and saturate the market.
Does the average customer pay attention to chip size? Can't be confused over something you don't know about nor care about.
Posted on Reply
#20
eidairaman1
The Exiled Airman
Cruise51There is a problem with naming nodes this way. The marketing guys will try pushing deceptive titles. If the engineers protest the title, they will be ignored and/or fired.
This is intel, they dont give a damn about people
Posted on Reply
#21
Minus Infinity
Gruffalo.Soldierpeople think the sun shines out of TSMC's ass basically, nothing Intel does is as good.
Well based on the power usage alone it's clearly not. It's Intel's own fault, not TSMC's. Let's wait and see how much power Alderlake uses to see if their 10nm is a big improvement over 14+++++++++++++++++++.
Posted on Reply
#22
Crackong
I am disappointed.
I expect the next one will be Super Secret Ultra Fin.
Now they went back to simple numbers.
How disgraceful
Posted on Reply
#23
Punkenjoy
This is fun for speculation and all that but in the end, it do not really matter to us customers. What is important is the final performance of each product.

This is only for people that might want to buy manufacturing process. I am not surprised that they are renaming their manufacturing node when they want to start to produce chips from others company. But before that, who care.
Posted on Reply
#24
Fatalfury
intel 7 or 10nm. they can keep whatever BS name they want.. but after staying in 14nm/14++nm or intel 10/10+ ? for that matter for like 7 years .

they better bring atleast 30% improvment in performance and also efficiency. otherwise it dont matter what they call.

the nm will always be ringing bells on everyone'head if it doesnt and will keep blaming it till the end.
Posted on Reply
#25
persondb
Why all the bashing? It's really just aligning the names to be closer to the competitors.

Nodes naming have been BS for years and if we are going to be mad about it then be mad at all foundries. I guess people are just too much in the Intel hate bandwagon.
Posted on Reply
Add your own comment
Apr 28th, 2024 02:49 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts