News Posts matching #Samsung

Return to Keyword Browsing

Samsung to Launch 2nm Production Line with 7,000-Wafer Monthly Output by Q1 2025

Samsung Electronics is speeding up its work on 2 nm production facilities, industry sources say. The company has started to install advanced equipment at its "S3" foundry line in Hwaseong to set up a 2 nm production line. This line aims to produce 7,000 wafers each month by the first quarter of next year. Also, Samsung plans to create a 1.4 nm production line at its "S5" foundry in Pyeongtaek Plant 2 by the second quarter of next year. This line has a goal to make 2,000 to 3,000 wafers each month. By the end of next year, Samsung will change all the remaining 3 nm production lines at "S3" to 2 nm.

As we reported earlier, Samsung has pushed back the start date for its Tyler, Texas foundry. The plant set to open by late 2024, won't install equipment until after 2026. Also, Samsung has changed its plans for the Pyeongtaek Fab 4 foundry line. Because of lower demand, it will now make DRAM instead, moreover, at Pyeongtaek Fab 3, which has a 4 nm line, Samsung has cut back production. These changes are part of Samsung's plan to make 2 nm chips next year and 1.4 nm chips by 2027. The company wants to catch up with its rival TSMC, right now, Samsung has 11.5% of the global foundry market in Q2, while TSMC leads with 62.3%. An industry expert stressed how crucial this is saying, "With the delay in 3 nm Exynos production and other issues, getting the 2 nm process right could make or break Samsung Foundry". The struggle for Samsung is real, with the company's top management, led by DS Division Vice Chairman Jeon Young-hyun, having recently issued a public apology for the division's underwhelming performance.

Samsung Electronics Publicly Apologizes Amid Setbacks in Memory and Foundry Business

Samsung Electronics is grappling with significant challenges in its semiconductor division, particularly in its memory and foundry businesses. The company's top management, led by DS Division Vice Chairman Jeon Young-hyun, recently issued a public apology for the division's underwhelming performance. The tech giant's struggles are best seen in its advanced 3 nm Gate-All-Around (GAA) FET node, which reportedly yields only 10-20% of working silicon. This low yield rate has made potential customers hesitant to partner with Samsung, dealing a blow to its foundry business. Samsung Securities projects a 500 billion won (approximately $385 million) loss this year for Samsung Foundry and the LSI division combined. In the global foundry market, Samsung's position has weakened considerably. The company currently holds just 11.5% of the market share in Q2, while industry leader TSMC dominates with a commanding 62.3%. This disparity has led to speculation about the possible spinoff of Samsung Foundry, as the company reevaluates its strategy in the advanced semiconductor manufacturing sector.

Memory unit, one of Samsung's biggest assets, is slowly being one-upped by SK Hynix, which could overtake Samsung as the number one memory maker thanks to strong HBM demand. The management's apology acknowledges the concerns raised about the company's technological competitiveness and future prospects. Vice Chairman Jeon emphasized the need to restore fundamental competitiveness in technology and quality, which he described as the company's "lifeblood." Despite these challenges, Samsung's leadership remains optimistic about turning the crisis into an opportunity. They have pledged to focus on long-term solutions, invest in pioneering technologies, and foster a culture of innovation and open communication within the organization. As one of only three companies left in the advanced semiconductor manufacturing field, alongside TSMC and Intel, Samsung's ability to overcome these hurdles will be crucial not only for the company but for the entire industry.

AMI Partners with Samsung to Bring Firmware Security to PCs

AMI, the global leader in Dynamic Firmware for worldwide computing, has partnered with Samsung Electronics, the global leader in consumer technology, to create an enhanced joint security solution available in Samsung's Galaxy Book PCs. Alongside Samsung's multi-layer security platform Samsung Knox, AMI's Tektagon - the industry-leading Platform Root of Trust firmware security solution - is now integrated into Samsung PCs including the Galaxy Book5 Pro 360, Galaxy Book4 Pro, Galaxy Book4 Pro 360, and Galaxy Book4 Ultra.

Through this collaborative partnership, AMI's Tektagon seamlessly integrates with Samsung Knox to ensure that confidential and sensitive data stays safe at every layer of the device through real-time threat detection and collaborative protection, while providing the highest level of security against firmware-injected malware to help prevent ransomware and denial of service attacks.

US Government to Allow Some Semiconductor Fabs to Circumvent Environmental Laws

According to a recent Reuters report, the US government, under Biden's administration, will allow a few criteria-matching semiconductor fabs to circumvent environmental protection laws. On Wednesday, President Joe Biden signed legislation that effectively enables these fabs to not follow the strict regulations designed for maximum preservation of the environment. The Semiconductor Industry Association has noted that without this new legislation, companies that are extending facilities on US soil would be significantly slowed down due to the National Environmental Policy Act (NEPA) of 1969. The CHIPS Act's primary force driver isn't just domestic production but near-future completion so that future geopolitical shifts don't impact US companies. The speed of getting permits to manufacture advanced chips is essential for every CHIPS Act recipient company, like Intel, Samsung, TSMC, and Micron.

Samsung Starts Mass Production of PM9E1, Industry's Most Powerful PC SSD for AI

Samsung Electronics, the world leader in advanced memory technology, today announced it has begun mass-producing PM9E1, a PCIe 5.0 SSD with the industry's highest performance and largest capacity. Built on its in-house 5-nanometer (nm)-based controller and eighth-generation V-NAND (V8) technology, the PM9E1 will provide powerful performance and enhanced power efficiency, making it an optimal solution for on-device AI PCs. Key attributes in SSDs, including performance, storage capacity, power efficiency and security, have all been improved compared to its predecessor (PM9A1a).

"Our PM9E1 integrated with a 5 nm controller delivers industry-leading power efficiency and utmost performance validated by our key partners," said YongCheol Bae, Executive Vice President of Memory Product Planning at Samsung Electronics. "In the rapidly growing on-device AI era, Samsung's PM9E1 will offer a robust foundation for global customers to effectively plan their AI portfolios."

Samsung Considers Foundry Division Spin-Off as Poor 3 nm Yields Deter Customers

The grass isn't always greener on the other side, especially as we're running out of sides in the advanced semiconductor manufacturing sector. A recent report by Business Korea highlights Samsung Securities' July publication titled "Geopolitical Paradigm Shift and Industry," which paints a less-than-optimistic picture of Samsung's current state of affairs. The report even evaluates a possible spinoff of Samsung Foundry. The Korean tech giant has faced various business setbacks related to its state-of-the-art 3 nm Gate-All-Around (GAA) FET node. Reports indicate that this node only manages to yield 10-20% of working silicon, making potential customers reluctant to secure partnerships with Samsung. Samsung Securities projects that Samsung Foundry, along with the LSI division, will suffer a 500 billion won (about $385 million) loss this year.

Poor yields and difficulty securing customers have left Samsung facing tough choices, including the possible sale of its massive Foundry unit, which manufactures logic for external customers. It's noteworthy that Samsung is one of only three companies left in the advanced semiconductor manufacturing field, alongside TSMC and Intel. Many companies struggled to deliver results when transitioning to sub-7 nm nodes. Global Foundries dropped out of the race to focus on mature nodes, while Intel faced delays. TSMC has been the only company so far to consistently set and execute its goals, positioning itself as the industry leader. With low yields on the 3 nm GAA FET node, Samsung currently holds 11.5% of the global foundry market share in Q2, while TSMC dominates with 62.3%.

Samsung Electro-Mechanics Unveils All-Solid-State Battery for Wearables

SEMCO (Samsung Electro-Mechanics) has developed an ultra-compact all-solid-state battery tailored for wearable devices. The innovative battery is an oxide-based small all-solid-state battery with an industry-leading energy density of 200 Wh/L.

This has achieved an equivalent level of energy density to lithium-ion batteries while being smaller in size, and SEMCO is currently promoting this product to customers through prototype evaluations. All-solid-state batteries utilize a non-flammable solid electrolyte for charging and discharging, enhancing safety and solid to external shocks, and allowing for various shapes, even at ultra-compact sizes.

Intel's Silver Lining is $8.5 Billion CHIPS Act Funding, Possibly by the End of the Year

Intel's recent financial woes have brought the company into severe cost-cutting measures, including job cuts and project delays. However, a silver lining remains—Intel is reportedly in the final stages of securing $8.5 billion in direct funding from the US government under the CHIPS Act, delivered by the end of the year. The potential financing comes at a crucial time for Intel, which has been grappling with financial challenges. The company reported a $1.6 billion loss in the second quarter of 2024, leading to short-term setbacks. However, thanks to sources close to the Financial Times, we learn that Intel's funding target will represent the CHIPS Act's largest share, leading to a massive boost to US-based semiconductor manufacturing.

Looking ahead, the potential CHIPS Act funding could serve as a catalyst for Intel's resurgence, reassuring both investors and customers about the company's future. A key element of Intel's recovery strategy lies in the ramp-up of production for its advanced 18A node, which should become the primary revenue driver for its foundry unit. This advancement, coupled with the anticipated government backing, positions Intel to potentially capture market share from established players like TSMC and Samsung. The company has already secured high-profile customers such as Amazon and (allegedly) Broadcom, hinting at its growing appeal in the foundry space. Moreover, Intel's enhanced domestic manufacturing capabilities align well with potential US government mandates for companies like NVIDIA and Apple to produce processors locally, a consideration driven by escalating geopolitical tensions.

Samsung Unveils the Galaxy Tab S10 Ultra and Galaxy Tab S10+

Samsung Electronics today unveiled the Galaxy Tab S10 Ultra and Galaxy Tab S10+, Samsung's first tablets purposefully built for AI. The premium hardware includes 14.6-inch and 12.4-inch Dynamic AMOLED 2X displays—the ideal canvas for the intuitive S Pen bundled with both models. Performance upgrades for the Galaxy Tab S10 Ultra include an 18% increase in CPU, 28% increase in GPU and 14% increase in NPU compared to the Galaxy Tab S9 Ultra.

This improved processing power enables faster and more responsive AI features, which are now easily accessible with written prompts using the new Galaxy AI Key on the Book Cover Keyboards to customize AI assistant. Cutting-edge software includes features such as Note Assist and Drawing Assist, optimized for the tablet form factor. The Galaxy Tab S10 series also acts as a home AI device, with a 3D Map View that gives a visual overview of the home and all connected devices to streamline device management across the SmartThings ecosystem. Robust Samsung Knox security ensures data privacy and control, while innovative materials underscore Samsung's commitment to a more sustainable future.

Samsung Launches the 990 EVO Plus SSD, Comes in Sizes up to 4 TB

Samsung Electronics, the world leader in advanced memory technology, today announced the release of the 990 EVO Plus, adding to its lineup of leading SSD products. With PCIe 4.0 support and latest NAND technology, the 990 EVO Plus is an ideal solution for consumers seeking enhanced performance and power efficiency on their PCs. Optimized for gaming, business and creative endeavors.

"Our daily lives are increasingly demanding more data with the images we share on social media and high-quality video streaming," said Hangu Sohn, Vice President of Memory Brand Product Biz Team at Samsung Electronics. "The 990 EVO Plus is built for laptop and desktop PC users seeking faster processing speeds and expanded storage capacity."

Intel Clearwater Forest Pictured, First 18A Node High Volume Product

Yesterday, Intel launched its Xeon 6 family of server processors based on P-cores manufactured on Intel 3 node. While the early reviews seem promising, Intel is preparing a more advanced generation of processors that will make or break its product and foundry leadership. Codenamed "Clearwater Forest," these CPUs are expected to be the first high-volume production chips based on the Intel 18A node. We have pictures of the five-tile Clearwater Forest processor thanks to Tom's Hardware. During the Enterprise Tech Tour event in Portland, Oregon, Tom's Hardware managed to take a picture of the complex Clearwater Forest design. With compute logic built on 18A, this CPU uses Intel's 3-T process technology, which serves as the foundation for the base die, marking its debut in this role. Compute dies are stacked on this base die, making the CPU building more complex but more flexible.

The Foveros Direct 3D and EMIB technologies enable large-scale integration on a package, achieving capabilities that previous monolithic single-chip designs could not deliver. Other technologies like RibbonFET and PowerVia will also be present for Clearwater Forest. If everything continues to advance according to plan, we expect to see this next-generation CPU sometime next year. However, it is crucial to note that if this CPU shows that the high-volume production of Intel 18A is viable, many Intel Foundry customers would be reassured that Intel can compete with TSMC and Samsung in producing high-performance silicon on advanced nodes at scale.

Samsung Develops Industry's First Automotive SSD Based on 8th-Generation V-NAND

Samsung Electronics Co., Ltd., the world leader in advanced memory technology, today announced it has successfully developed the industry's first PCIe 4.0 automotive SSD based on eighth-generation vertical NAND (V-NAND). With industry-leading speeds and enhanced reliability, the new auto SSD, AM9C1 is an optimal solution for on-device AI capabilities in automotive applications. With about 50% improved power efficiency compared to its predecessor, the AM991, the new 256 GB auto SSD will deliver sequential read and write speeds of up to 4400 MB/s and 400 MB/s, respectively.

"We are collaborating with global autonomous vehicle makers and providing high-performance, high-capacity automotive products," said Hyunduk Cho, Vice President and Head of Automotive Group at Samsung Electronics' Memory Business. "Samsung will continue to lead the Physical AI1 memory market that encompasses applications from autonomous driving to robotics technologies."

TSMC and Samsung Consider Building $100 Billion Semiconductor Facilities in Middle East

TSMC and Samsung are reportedly in talks with the United Arab Emirates (UAE) to establish chip factories in the Gulf nation. As reported by the Wall Street Journal, this "desert dream" aligns with the UAE's ambitious plans to diversify its economy beyond oil and become a key player in the AI sector by building chips for AI domestically. The UAE and neighboring Saudi Arabia plan to leverage their oil wealth to invest in cutting-edge manufacturing, with AI emerging as a primary focus due to its high computational demands. Successful implementation of chip factories could significantly boost the region's AI capabilities and impact the global semiconductor supply chain. However, the project faces substantial challenges. Previous attempts to establish semiconductor manufacturing in the Gulf, such as the GlobalFoundries initiative over a decade ago, have yet to progress beyond initial planning.

The current proposal faces even greater obstacles, with estimated costs exceeding $100 billion for a state-of-the-art facility and necessary infrastructure. Geopolitical concerns add another layer of complexity. Recent US export restrictions of certain chips to the Gulf region may complicate the transfer of advanced manufacturing processes to the UAE. Despite these hurdles, the potential benefits are significant. For the UAE, success would represent a major step towards economic diversification and technological leadership. TSMC and Samsung could gain a strategic presence in a region eager for technological advancement. TSMC noted that the company focuses on current expansion projects in the US, Japan, and Germany, while Samsung declined to comment.

Samsung Starts Mass Production of PCle 5.0 PM9E1 SSD

Samsung Electronics Co., Ltd., the world leader in advanced memory technology, today announced it has begun mass producing PM9E1, a PCle 5.0 SSD with the industry's highest performance and largest capacity. Built on its in-house 5-nanometer (nm)-based controller and eighth-generation V-NAND (V8) technology, the PM9E1 will provide powerful performance and enhanced power efficiency, making it an optimal solution for on-device AI PCs. Key attributes in SSDs, including performance, storage capacity, power efficiency and security, have all been improved compared to its predecessor (PM9A1a).

"Our PM9E1 integrated with a 5 nm controller delivers industry-leading power efficiency and utmost performance validated by our key partners," said YongCheol Bae, Executive Vice President of Memory Product Planning at Samsung Electronics. "In the rapidly growing on-device AI era, Samsung's PM9E1 will offer a robust foundation for global customers to effectively plan their AI portfolios."

AI Demand Drives Enterprise SSD Contract Prices Up by 25% in Q2 and Boosts Supplier Revenues by Over 50%

TrendForce's latest reports reveal that the second quarter of 2024 saw a significant increase in demand for enterprise SSDs due to the increased deployment of NVIDIA GPU platforms and rising storage needs driven by AI applications, along with a surge in demand from server brands. The surge in demand for high-capacity SSDs for AI applications—coupled with suppliers' inability to adjust capacity in the first half of the year—resulted in a supply shortage that drove average enterprise SSD prices up by more than 25% QoQ. This price increase led to a revenue growth of over 50% for suppliers.

Looking ahead to the third quarter, demand from North American CSP customers continues to rise, and server brands show no signs of slowing down their orders, further boosting procurement volumes of enterprise SSD. With supply shortages persisting into the third quarter, TrendForce forecasts a 15% increase in contract prices compared to the previous quarter, with supplier revenues expected to grow by nearly 20%.

Samsung's 2nm Yield Problems Remain Unresolved

Samsung's foundry plans have again hit a major setback. The company notified staff at its Taylor, Texas facility that it was temporarily removing workers from the site because it is still experiencing challenges with 2 nm semiconductor yields, delaying mass production timelines from late 2024 to 2026. The Taylor site had been anticipated as the flagship facility for Samsung's sub-4 nm production, allowing access to potential customers near the facility. While Samsung has moved rapidly in terms of process development, its yields for advanced nodes have outstripped them, the company's yields for sub-3 nm processes hover around 50%, with Gate-All-Around (GAA) technology witnessing yields of only 10-20%, significantly lower than neighboring competitor TSMC's 60-70% for corresponding nodes.

The yield gaps that the company is experiencing have exacerbated the gap in market share, with TSMC capturing 62.3% of the global foundry market share in Q2 versus Samsung's 11.5%. The company is struggling to gain share despite efforts by Chairman Lee Jae-yong - including visits to component suppliers ASML, and Zeiss - and these yields put at risk as much as 9 trillion won in U.S. CHIP Act potential subsidies that are dependent upon operational milestones.

Samsung to Cut Up to 30% of Global Staff in Some Departments, with Dell and Qualcomm Following the Trend

Samsung is implementing a major workforce realignment to improve operations and increase efficiency. According to a report from Reuters, Samsung has instructed its global subsidiaries to reduce marketing and sales staff by 15% and management personnel by 30% by the end of this year. Of its 267,800 employees worldwide, 147,000 are based overseas, and Samsung's global layoff plan is expected to impact all regions, including Europe, Asia, the Americas, and Africa. The exact motivation behind the layoffs is unclear; one source cites the slowdown in global demand for tech products as a factor, while another suggests Samsung is aiming to increase profits by cutting costs.

Dell is also implementing significant measures, with plans to lay off at least 12,500 employees, approximately 10% of its total workforce. Dell is striving to become "leaner" by overhauling its sales divisions and adopting paperless operations with the help of AI. Job cuts are expected to continue overseas, with U.S. staff members expecting their turn soon. Dell has declined to confirm any numbers regarding the layoffs, particularly those concerning their employees.

Samsung Begins Industry's First Mass Production of QLC 9th-Gen V-NAND

Samsung Electronics, the world leader in advanced memory technology, today announced it has begun mass production of its one-terabit (Tb) quad-level cell (QLC) 9th-generation vertical NAND (V-NAND).

With the industry's first mass production of QLC 9th-generation V-NAND, following the industry's first triple-level cell (TLC) 9th-generation V-NAND production in April this year, Samsung is solidifying its leadership in the high-capacity, high-performance NAND flash market.

Rambus Announces Industry-First HBM4 Controller IP to Accelerate Next-Generation AI Workloads

Rambus Inc., a premier chip and silicon IP provider making data faster and safer, today announced the industry's first HBM4 Memory Controller IP, extending its market leadership in HBM IP with broad ecosystem support. This new solution supports the advanced feature set of HBM4 devices, and will enable designers to address the demanding memory bandwidth requirements of next-generation AI accelerators and graphics processing units (GPUs).

"With Large Language Models (LLMs) now exceeding a trillion parameters and continuing to grow, overcoming bottlenecks in memory bandwidth and capacity is mission-critical to meeting the real-time performance requirements of AI training and inference," said Neeraj Paliwal, SVP and general manager of Silicon IP, at Rambus. "As the leading silicon IP provider for AI 2.0, we are bringing the industry's first HBM4 Controller IP solution to the market to help our customers unlock breakthrough performance in their state-of-the-art processors and accelerators."

NAND Flash Shipments Growth Slows in 2Q24, Revenue Up 14% Driven by AI SSD Demand

TrendForce reports that NAND Flash prices continued to rise in 2Q24 as server inventory adjustments neared completion and AI spurred demand for high-capacity storage products. However, high inventory levels among PC and smartphone buyers led to a 1% QoQ decline in NAND Flash bit shipments. Despite this, ASP increased by 15% and drove total revenue to US$16.796 billion, a 14.2% growth compared to the previous quarter.

All NAND Flash suppliers returned to profitability starting in the second quarter and are expanding capacity in the third quarter to meet strong demand from AI and server markets. However, weaker-than-expected PC and smartphone sales in the first half of the year are likely to constrain NAND Flash shipment growth.

Samsung's Galaxy Book4 Edge Next-Gen AI PC, Now with 15-inch Display

Samsung Electronics today announced the Galaxy Book4 Edge (15-inch), the latest addition to Samsung's Galaxy Book lineup, now powered by Qualcomm's cutting-edge Snapdragon X Plus 8-core platform. This new Copilot+ PC seamlessly integrates advanced AI capabilities, which elevate productivity and creativity to new heights.

Enhanced connectivity with the Samsung Galaxy ecosystem allows for one easy and efficient workflow across multiple devices, and further improves access to trailblazing Galaxy AI tools. All of these innovations are housed in a slim design featuring a 15.6-inch FHD display, a long-lasting battery with Super-Fast Charging and Samsung's multi-layer Samsung Knox security. The Galaxy Book4 Edge (15-inch) is available in select markets starting October in an iconic Sapphire Blue finish.

Samsung Announces New Galaxy Book5 Pro 360

Samsung Electronics today announced the Galaxy Book5 Pro 360, a Copilot+ PC and the first in the all-new Galaxy Book5 series. Performance upgrades made possible by the Intel Core Ultra processors (Series 2) bring next-level computing power, with up to 47 total TOPs NPU - and more than 300 AI-accelerated features across 100+ creativity, productivity, gaming and entertainment apps. Microsoft Phone Link provides access to your Galaxy phone screen on a larger, more immersive PC display, enabling use of fan-favorite Galaxy AI features like Circle to Search with Google, Chat Assist, Live Translate and more. And with the Intel ARC GPU, graphics performance is improved by 17%. When paired with stunning features like the Dynamic AMOLED 2X display with Vision Booster and 10-point multi-touchscreen, Galaxy Book5 Pro 360 allows creation anytime, anywhere.

"The Galaxy Book5 series brings even more cutting-edge AI experiences to Galaxy users around the world who want to enhance and simplify their everyday tasks - a vision made possible by our continued collaboration with longtime industry partners," said Dr. Hark-Sang Kim, EVP & Head of New Computing R&D Team, Mobile eXperience Business at Samsung Electronics. "As one of our most powerful PCs, Galaxy Book5 Pro 360 brings together top-tier performance with Galaxy's expansive mobile AI ecosystem for the ultimate AI PC experience."

Samsung Launches The Premiere 9 and The Premiere 7 Projectors

Samsung Electronics America today announced the launch of its latest innovations in home entertainment, The Premiere 9 and The Premiere 7. These ultra-short throw projectors, designed to deliver an unparalleled viewing experience, transform any living space into a premium home cinema with stunning 4K resolution, immersive audio and other advanced features.

"Research finds the #1 factor buyers search for in a new projector is whether it provides a cinematic experience. That's why we've refreshed our award-winning lineup of The Premiere projectors to make it even easier for you to experience theater-like visuals, right at home" said James Fishler, Senior Vice President of Home Entertainment, Samsung Electronics America. "The Premiere 9 and 7 transform your everyday living space into an immersive viewing hub, delivering incredible picture quality, immersive sound and endless ways to enjoy your favorite content. They truly set the standard for modern home entertainment."

Samsung Unveils Glasses-Free Odyssey 3D Gaming Monitor at Gamescom 2024

Samsung Electronics today announced the unveiling of its latest gaming monitors with a particular focus on the groundbreaking Odyssey 3D, which offers glasses-free 3D viewing, at Gamescom 2024 in Cologne, Germany. Gamescom 2024, held from August 21 to 25, is the world's largest gaming exhibition and features more than 1,400 exhibitors, including hardware, software and game content producers. Samsung will showcase its high-end gaming monitors - including the new Odyssey 3D and models from the Odyssey G6, G8 and G9 series - at its booth, which spans 800 m² and is the company's largest and most immersive booth to date.

"We are thrilled to present our glasses-free 3D gaming monitor at Gamescom, the world's largest gaming exhibition," said Hoon Chung, Executive Vice President of Enterprise Business Team, Visual Display Business at Samsung Electronics. "Samsung remains committed to leading the premium gaming monitor market by continuously developing cutting-edge technologies that enhance the gaming experience."

Samsung to Install High-NA EUV Machines Ahead of TSMC in Q4 2024 or Q1 2025

Samsung Electronics is set to make a significant leap in semiconductor manufacturing technology with the introduction of its first High-NA 0.55 EUV lithography tool. The company plans to install the ASML Twinscan EXE:5000 system at its Hwaseong campus between Q4 2024 and Q1 2025, marking a crucial step in developing next-generation process technologies for logic and DRAM production. This move positions Samsung about a year behind Intel but ahead of rivals TSMC and SK Hynix in adopting High-NA EUV technology. The system is expected to be operational by mid-2025, primarily for research and development purposes. Samsung is not just focusing on the lithography equipment itself but is building a comprehensive ecosystem around High-NA EUV technology.

The company is collaborating with several key partners like Lasertec (developing inspection equipment for High-NA photomasks), JSR (working on advanced photoresists), Tokyo Electron (enhancing etching machines), and Synopsys (shifting to curvilinear patterns on photomasks for improved circuit precision). The High-NA EUV technology promises significant advancements in chip manufacturing. With an 8 nm resolution capability, it could make transistors about 1.7 times smaller and increase transistor density by nearly three times compared to current Low-NA EUV systems. However, the transition to High-NA EUV comes with challenges. The tools are more expensive, costing up to $380 million each, and have a smaller imaging field. Their larger size also requires chipmakers to reconsider fab layouts. Despite these hurdles, Samsung aims for commercial implementation of High-NA EUV by 2027.
Return to Keyword Browsing
Oct 15th, 2024 11:13 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts