News Posts matching #Samsung

Return to Keyword Browsing

Samsung Electronics and Red Hat Partnership To Lead Expansion of CXL Memory Ecosystem With Key Milestone

Samsung Electronics, a world leader in advanced memory technology, today announced that for the first time in the industry, it has successfully verified Compute Express Link (CXL) memory operations in a real user environment with open-source software provider Red Hat, leading the expansion of its CXL ecosystem.

Due to the exponential growth of data throughput and memory requirements for emerging fields like generative AI, autonomous driving and in-memory databases (IMDBs), the demand for systems with greater memory bandwidth and capacity is also increasing. CXL is a unified interface standard that connects various processors, such as CPUs, GPUs and memory devices through a PCIe interface that can serve as a solution for limitations in existing systems in terms of speed, latency and expandability.

Not Just TSMC, Even Samsung Running Behind Schedule with its U.S. Fab

Delays in the construction of its U.S. based semiconductor fab may have just cost the Chairman of TSMC his job, but the Koreans aren't faring any better. BusinessKorea reports that Samsung Electronics has pushed the timeline for mass-production in its upcoming Austin Texas-based fab to 2025. Its construction was originally slated to be complete by now, with risk production and testing through early 2024, and mass production later in the year, which has all been pushed to 2025. The company now hopes to push its first wafer toward the end of 2024, with mass production expected some time in 2025.

Samsung reportedly blames issues with U.S. Government subsidies and regulatory problems behind the delays. A key aspect of getting cutting edge Asian foundries such as TSMC and Samsung to invest in the U.S. had to do with government subsidies to help these fabs overcome the uphill task of doing so Stateside and making the venture profitable. The U.S. had a sense of urgency in bringing these companies over, as it saw a potential conflict across the Taiwan straits, which threatened to disrupt practically the entire global digital economy. The company's first production line in this foundry was expected to be 4 nm EUV FinFET. It remains to be seen just how relevant and cutting edge 4 nm EUV is in 2025, as both TSMC and Intel hope to have Nanosheet transistors and nodes such as the TSMC N2 and Intel 20A taking shape by then.

Samsung and Naver Developing an AI Chip Claiming to be 8x More Power Efficient than NVIDIA H100

Naver, the firm behind the HyperCLOVA X large language model (LLM), has been working with Samsung Electronics toward the development of power-efficient AI accelerators. The collaboration brings Naver's expertise with Samsung's vast systems IP over silicon design, the ability to build complex SoCs, semiconductor fabrication, and its plethora of DRAM technologies. The two recently designed a proof of concept for an upcoming AI chip, which they iterated on an FPGA. Naver claims the AI chip it is co-developing with Samsung will be 8 times more energy efficient than an NVIDIA H100 AI accelerator, but did not elaborate on its actual throughput. Its solution, among other things, leverages energy-efficient LPDDR memory from Samsung. The two companies have been working on this project since December 2022.

Intel, TSMC, and Samsung, Demo CFETs at IEEE IEDM Conference, Near Doubling in Transistor Densities in Sight

Last week at the IEEE International Electron Devices Meeting, the world's top-three semiconductor foundries, TSMC, Intel (Intel Foundry Services or IFS), and Samsung Electronics, demonstrated their respective approaches to an evolutionary new transistor device called the CFET, or complementary field-effect transistors. A CFET is a kind of 3-D transistor that stacks both kinds of FETs needed for CMOS logic. All three fabs are transitioning from FinFET to nanosheets, or GAAFETs (gates all-around FETs).

While FinFETs use vertical silicon fins, with gates controlling the flow of current through them; while in a nanosheet, the vertical fin is cut into a set of ribbons, each surrounded by the gate. A CFET is essentially a taller nanosheet device in which uses half of the available ribbons for one device, and the other half for another. This device builds the two types of transistor, nFETs and pFETs on top of each other, in an integrated process. CFETs are the evolutionary next step to conventional GAAFETs, and it's predicted to enter mass production only 7-10 years from now. By that time, the industry will begin to feel the pushback from technological barriers preventing development beyond 10 angstrom-class nodes.

NVIDIA CFO Hints at Intel Foundry Services Partnership

NVIDIA CFO Colette Kress, responding to a question in the Q&A session of the recent UBS Global Technology Conference, hinted at the possibility of NVIDIA onboarding a third semiconductor foundry partner besides its current TSMC and Samsung, with the implication being Intel Foundry Services (IFS). "We would love a third one. And that takes a work of what are they interested in terms of the services. Keep in mind, there is other ones that may come to the U.S. TSMC in the U.S. may be an option for us as well. Not necessarily different, but again in terms of the different region. Nothing that stops us from potentially adding another foundry."

NVIDIA currently sources its chips from TSMC and Samsung. It uses the premier Taiwanese fab for its latest "Ada" GPUs and "Hopper" AI processors, while using Samsung for its older generation "Ampere" GPUs. The addition of IFS as a third foundry partner could improve the company's supply-chain resilience in an uncertain geopolitical environment; given that IFS fabs are predominantly based in the US and the EU.

Top 10 Foundries Experience 7.9% QoQ Growth in 3Q23, with a Continued Upward Trend Predicted for Q4

TrendForce's research indicates a dynamic third quarter for the global foundry industry, marked by an uptick in urgent orders for smartphone and notebook components. This surge was fueled by healthy inventory levels and the release of new iPhone and Android devices in 2H23. Despite persisting inflation risks and market uncertainties, these orders were predominantly executed as rush orders. Additionally, TSMC and Samsung's high-cost 3 nm manufacturing process had a positive impact on revenues, driving the 3Q23 value of the top ten global foundries to approximately US$28.29 billion—a 7.9% QoQ increase.

Looking ahead to 4Q23, the anticipation of year-end festive demand is expected to sustain the inflow of urgent orders for smartphones and laptops, particularly for smartphone components. Although the end-user market is yet to fully recover, pre-sales season stockpiling for Chinese Android smartphones appears to be slightly better than expected, with demand for mid-to-low range 5G and 4G phone APs and continued interest in new iPhone models. This scenario suggests a continued upward trend for the top ten global foundries in Q4, potentially exceeding the growth rate seen in Q3.

NAND Flash Industry Revenue Grows 2.9% in 3Q23, Expected to Surge Over 20% in Q4

TrendForce reports a pivotal shift in the NAND Flash market for 3Q23, primarily driven by Samsung's strategic decision to reduce production. Initially, the market was clouded by uncertainty regarding end-user demand and fears of a subdued peak season, prompting buyers to adopt a conservative approach with low inventory and slow procurement. However, as market leaders like Samsung implemented substantial production cuts, buyers' attitudes shifted toward a more aggressive procurement strategy in anticipation of a market supply decrease. This led to a stabilization and even an uptick in NAND Flash contract prices by quarter-end, driving a 3% QoQ increase in bit shipments and culminating in a total revenue of US$9.229 billion, marking an approximate 2.9% increase.

The story unfolds with Kioxia and Micron—the only two to witness a dip in revenue rankings this quarter—while Samsung maintained its robust performance. Despite sluggish demand in the server sector, Samsung's fortunes rebounded thanks to a boost in consumer electronics, especially with high-capacity products in PCs and smartphones. Samsung emerged from a trough in Q3, with strategic inventory replenishments fueling further strategic stocking, and a shift in operational focus toward maximizing profit. This led to a minor 1-3% decrease in shipped bits, but a 1-3% increase in ASP, stabilizing Q3 NAND Flash revenue at US$2.9 billion.

Contract Prices Bottom Out in Q3, Reigniting Buyer Momentum and Boosting DRAM Revenue by Nearly 20%, Notes Report

TrendForce investigations reveal a significant leap in the DRAM industry for 3Q23, with total revenues soaring to US$13.48 billion—marking 18% QoQ growth. This surge is attributed to a gradual resurgence in demand, prompting buyers to re-energize their procurement activities. Looking ahead to Q4, while suppliers are firmly set on price hikes, with DRAM contract prices expected to rise by approximately 13-18%, demand recovery will not be as robust as in previous peak seasons. Overall, while there is demand for stockpiling, procurement for the server sector remains tentative due to high inventory levels, suggesting limited growth in DRAM industry shipments for Q4.

Three major manufacturers witnessed Q3 revenue growth. Samsung's revenue increased by about 15.9% to US$5.25 billion thanks to stable demand for high-capacity products fueled by AI advancements and the rollout of its 1alpha nm DDR5. SK hynix showcased the most notable growth among manufacturers with a 34.4% increase, reaching about US$4.626 billion and significantly narrowing its market share gap with Samsung to less than 5%. Micron's revenue rose by approximately 4.2% to US$3.075 billion—despite a slight drop in ASP—supported by an upswing in demand and shipment volumes.

Manufacturers Anticipate Completion of NVIDIA's HBM3e Verification by 1Q24; HBM4 Expected to Launch in 2026

TrendForce's latest research into the HBM market indicates that NVIDIA plans to diversify its HBM suppliers for more robust and efficient supply chain management. Samsung's HBM3 (24 GB) is anticipated to complete verification with NVIDIA by December this year. The progress of HBM3e, as outlined in the timeline below, shows that Micron provided its 8hi (24 GB) samples to NVIDIA by the end of July, SK hynix in mid-August, and Samsung in early October.

Given the intricacy of the HBM verification process—estimated to take two quarters—TrendForce expects that some manufacturers might learn preliminary HBM3e results by the end of 2023. However, it's generally anticipated that major manufacturers will have definite results by 1Q24. Notably, the outcomes will influence NVIDIA's procurement decisions for 2024, as final evaluations are still underway.

NVIDIA Experiences Strong Cloud AI Demand but Faces Challenges in China, with High-End AI Server Shipments Expected to Be Below 4% in 2024

NVIDIA's most recent FY3Q24 financial reports reveal record-high revenue coming from its data center segment, driven by escalating demand for AI servers from major North American CSPs. However, TrendForce points out that recent US government sanctions targeting China have impacted NVIDIA's business in the region. Despite strong shipments of NVIDIA's high-end GPUs—and the rapid introduction of compliant products such as the H20, L20, and L2—Chinese cloud operators are still in the testing phase, making substantial revenue contributions to NVIDIA unlikely in Q4. Gradual shipments increases are expected from the first quarter of 2024.

The US ban continues to influence China's foundry market as Chinese CSPs' high-end AI server shipments potentially drop below 4% next year
TrendForce reports that North American CSPs like Microsoft, Google, and AWS will remain key drivers of high-end AI servers (including those with NVIDIA, AMD, or other high-end ASIC chips) from 2023 to 2024. Their estimated shipments are expected to be 24%, 18.6%, and 16.3%, respectively, for 2024. Chinese CSPs such as ByteDance, Baidu, Alibaba, and Tencent (BBAT) are projected to have a combined shipment share of approximately 6.3% in 2023. However, this could decrease to less than 4% in 2024, considering the current and potential future impacts of the ban.

Samsung Galaxy Book 4 Series Powered by Next Gen Core, Arc and RTX 40-series Graphics

The full specifications of Samsung's upcoming Galaxy Book 4 line of ultra portable notebooks, have been leaked to the web by Windows Report. The star attraction with these notebooks are the next-generation Intel Core processors (possibly "Meteor Lake"), combined with LPDDR4X, LPDDR5, or LPDDR5X memory, the company's latest NVMe SSD storage, and innovative new display panels. There are as many as 5 main models based on form-factor and market segment, and their sub-variants based on memory or storage sizes. The series begins simply with the Galaxy Book 4, with a conventional thickness body and 15.6-inch screen size. This notebook has a conventional IPS panel with anti-glare treatment, and Full HD (1920 x 1080) resolution. At the heart is a Core 5-120U processor that boosts up to 5.00 GHz, and has 12 MB of L3 cache. The notebook uses the iGPU of this processor, and combines it with 8 GB of LPDDR4X memory. There are two M.2 NVMe slots, one of which has a pre-installed 512 GB SSD. Comms include Wi-Fi 6 and Bluetooth 5.1.

The Galaxy Book 4 360 is a step up, and is a convertible. This looks similar to the Galaxy Book 4, but with a screen hingle that turns it into a tablet. The 15.6-inch display now gets an AMOLED touchscreen with Full HD resolution. While the processor is the same Core 5-120U, the 8 GB of memory is faster LPDDR5. You still get two M.2 NVMe slots, one of which has a 256 GB SSD. The communications are a step up, too, with Wi-Fi 6E and Bluetooth 5.3. Both the Galaxy Book 4 and Galaxy Book 4 360 should be priced around the $1,000-mark.

Samsung Unveils New Portable SSD T5 EVO

Samsung Electronics, a world leader in advanced semiconductor technology, today unveiled the T5 EVO, a lightweight portable Solid-State Drive (SSD) that provides up to 8 terabytes (TB) of capacity, which is the largest capacity size currently offered by portable SSDs available on the market. Along with its capacity, the T5 EVO delivers ultra-fast speeds in a compact, durable design as a cutting-edge, versatile SSD solution.

"For consumers who are looking for substitutes for traditional hard disk drives (HDDs), the T5 EVO - offering the largest-available capacity along with speed and durability in a compact design that fits in the palm of your hand - is an innovative, new external storage option," said Hangu Sohn, Vice President of the Memory Brand Product Biz Team at Samsung Electronics. "The T5 EVO is built to fulfill the needs of a wide range of consumers with diverse lifestyles. Its capacity of up to 8 TB, fast speeds, compact design and data protection from external shocks are well-suited for users who want to store and access data anywhere, anytime."

AMD FidelityFX Super Resolution Could Come to Samsung and Qualcomm SoCs

AMD FidelityFX Super Resolution (FSR) is an open-source resolution upscaling technology that takes lower-resolution input and uses super-resolution temporal upscaling technology, frame generation using AMD Fluid Motion Frames (AFMF) technology, and built-in latency reduction technology to provide greater-resolution output images from lower-resolution settings. While the technology is open-source, it battles in market share with NVIDIA and the company's Deep Learning Super Sampling (DLSS). However, in the mobile space, there hasn't been much talk about implementing upscaling technology up until now. According to a popular leaker @Tech_Reve on X/Twitter, we have information that AMD is collaborating with Samsung and Qualcomm to standardize on upscaling technology implementations in mobile SoCs.

Not only does the leak imply that the AMD FSR technology will be used in Samsung's upcoming Exynos SoC, but some AMD ray tracing will be present as well. The leaker has mentioned Qualcomm, which means that future iterations of Snapdragon are up to adopt the FSR algorithmic approach to resolution upscaling. We will see how and when, but with mobile games growing in size and demand, FSR could come in handy to provide mobile gamers with a better experience. Primarily, this targets Android devices, which Qualcomm supplies, where Apple's iPhone recently announced MetalFX Upscaling technology with an A17 Pro chip.

Samsung Said to be Increasing NAND Pricing by 20% Per Quarter

If you've been putting off purchasing that shiny new SSD, you might want to consider doing it before the end of the year, especially if you've been eyeing a model from Samsung, as the company will reportedly be increasing the pricing of its NAND flash starting now. NAND flash might have hit rock bottom, with all major manufacturers except possibly YMTC having cut production to try to push up pricing, but so far, nothing appears to have worked. Despite this, reports coming out of Taiwan's UDN News via TrendForce are suggesting that Samsung is getting ready to hike the price of its NAND flash products by 20 percent per quarter until the middle of 2024.

Admittedly this only gives Samsung about two and a half quarters to increase the pricing, but that's potentially three increases of 20 percent per increase. Samsung has reportedly already increased its NAND wafer prices by 10 to 20 percent this quarter, which according to TrendForce has had a knock on effect on enterprise SSD pricing, which has increased by five to 10 percent already. TrendForce is forecasting a consumer SSD price increase of eight to 13 percent before the end of the year, which might not seem like much, but if the pricing increases for another couple of quarters, we could be looking at 30 to 40 percent more expensive SSDs in the not too distant future. As such, it's going to be worth keeping an eye on SSD pricing, as it's likely that Samsung's competitors will follow suit and increase their NAND pricing as well, which will affect the broader market.

Samsung Electronics Announces Third Quarter 2023 Results

Samsung Electronics today reported financial results for the third quarter ended September 30, 2023. Total consolidated revenue was KRW 67.40 trillion, a 12% increase from the previous quarter, mainly due to new smartphone releases and higher sales of premium display products. Operating profit rose sequentially to KRW 2.43 trillion based on strong sales of flagship models in mobile and strong demand for displays, as losses at the Device Solutions (DS) Division narrowed.

The Memory Business reduced losses sequentially as sales of high valued-added products and average selling prices somewhat increased. Earnings in system semiconductors were impacted by a delay in demand recovery for major applications, but the Foundry Business posted a new quarterly high for new backlog from design wins. The mobile panel business reported a significant increase in earnings on the back of new flagship model releases by major customers, while the large panel business narrowed losses in the quarter. The Device eXperience (DX) Division achieved solid results due to robust sales of premium smartphones and TVs. Revenue at the Networks Business declined in major overseas markets as mobile operators scaled back investments.

Kioxia and Western Digital Merger Stops Due to SK Hynix Opposition

According to sources close to Nikkei, the merger discussions between Western Digital and Kioxia has been terminated. Western Digital notified Kioxia about scrapping the possible transaction, citing the failure to obtain approval from SK Hynix, a significant shareholder of Kioxia, and disagreements over merger terms with Bain Capital, Kioxia's main shareholder. Western Digital and Kioxia, holding the fourth and second positions in the global NAND flash memory market, respectively, planned to join their NAND operations under one roof to create the world's largest maker of NAND memory and potentially enhance their competitive standing and profitability.

The merger was seen as a strategic move to rival Samsung's market dominance by leveraging the companies' combined resources and capabilities, and the plan was to happen as soon as the end of this month. However, the merger faced substantial opposition from SK Hynix, the world's third-largest NAND supplier with a 17.8% market share. Having invested more than $2.6 billion in a consortium led by Bain Capital that previously acquired Kioxia in 2018, SK Hynix expressed concerns that the proposed merger would adversely impact its market position and future collaboration opportunities with Kioxia. This opposition proved to be a pivotal obstacle, preventing the realization of the merger.

Samsung Announces the Galaxy Tab A9 and Galaxy Tab A9+

Samsung Electronics today announced its new Galaxy Tab A9 series, delivering Samsung Galaxy's awesome tablet experiences at great value. Available in two sizes, the series combines immersive video and audio with hyper-fast connectivity—supporting everyday entertainment and productivity needs in a portable package. The Galaxy Tab A9 and Galaxy Tab A9+ exemplify the Galaxy connected ecosystem, offering a continuous experience across multiple devices, as well as innovative ways for users and their loved ones to safely navigate the digital realm.

"We believe everyone deserves the opportunity to experience the latest innovations in tablet technology," said TM Roh, President and Head of Samsung Electronics' Mobile eXperience Business. "With the latest Galaxy Tab A series release, we're making it easier than ever for all to enjoy great entertainment and productive multitasking across the entire Galaxy ecosystem."

Kioxia and Western Digital Could Announce Merger This Month

According to Kyodo News, Japanese chip manufacturer Kioxia and its U.S. counterpart Western Digital are reportedly on the verge of finalizing a merger agreement, aiming to create the world's largest producer of memory chips. The merger plan involves establishing a holding company to consolidate their operations for producing NAND flash memory chips, with the announcement reportedly coming this month. The merged entity is expected to be listed on the Nasdaq stock exchange in the United States. As the global semiconductor market contends with competitive pressures and fluctuating demand, the merger is seen as a strategic move to enhance the combined market position of both companies.

Western Digital shareholders are anticipated to hold a majority stake in the new entity, with Kioxia's shareholders, including Toshiba Corporation, owning the remaining stake. The move is poised to give the newly formed company a combined market share of 35.4 percent in NAND memory chips as of March, surpassing South Korea's Samsung, the current leader, with 34.3 percent. However, the merger's ultimate approval hinges on regulators' decisions, including those in China, as semiconductors have become increasingly integral to global economic security. Major Japanese banks, including MUFG Bank and the state-backed Development Bank of Japan, are contemplating loans of up to approximately 1.9 trillion yen (about $12.7 billion) to facilitate the merger.

Samsung Electronics Holds Memory Tech Day 2023 Unveiling New Innovations To Lead the Hyperscale AI Era

Samsung Electronics Co., Ltd., a world leader in advanced memory technology, today held its annual Memory Tech Day, showcasing industry-first innovations and new memory products to accelerate technological advancements across future applications—including the cloud, edge devices and automotive vehicles.

Attended by about 600 customers, partners and industry experts, the event served as a platform for Samsung executives to expand on the company's vision for "Memory Reimagined," covering long-term plans to continue its memory technology leadership, outlook on market trends and sustainability goals. The company also presented new product innovations such as the HBM3E Shinebolt, LPDDR5X CAMM2 and Detachable AutoSSD.

Samsung V-NAND with 300+ Layers is Coming in 2024, Notes Company Executive

Jung-Bae Lee, President and Head of Memory Business of Samsung Electronics, the world's largest NAND memory supplier, has noted in the blog post that Samsung plans to develop its 9th Generation V-NAND memory with over 300 layers, aiming for mass production in 2024. Samsung's V-NAND uses a double-stack structure and is expected to have more active layers than its competitors' 3D NAND memory, such as SK Hynix's forthcoming 321-layer memory. The increase in layers allows Samsung to enhance storage density and performance in its future 3D NAND devices, focusing on input/output (I/O) speed. While the specific performance details of Samsung's 9th Generation V-NAND remain undisclosed, the memory is expected to be used in next-generation PCIe SSDs with the PCIe 5.0 standard.

Jung-Bae Lee has noted: "New structural and material innovations will be critical in the upcoming era of sub-10-nanometer (nm) DRAM and 1,000-layer vertical V-NAND. As such, we are developing 3D stacked structures and new materials for DRAM while increasing layer count, decreasing height, and minimizing cell interference for V-NAND." The 9th installment of V-NAND, scheduled for 2024, is utilizing 11 nm-class DRAM. Additionally, the blog post reassures the commitment to CXL Memory Modules (CMM), which will enable the composable infrastructure of next-generation systems, especially with high-capacity SSDs powered by V-NAND.

Samsung Notes: HBM4 Memory is Coming in 2025 with New Assembly and Bonding Technology

According to the editorial blog post published on the Samsung blog by SangJoon Hwang, Executive Vice President and Head of the DRAM Product & Technology Team at Samsung Electronics, we have information that High-Bandwidth Memory 4 (HBM4) is coming in 2025. In the recent timeline of HBM development, we saw the first appearance of HBM memory in 2015 with the AMD Radeon R9 Fury X. The second-generation HBM2 appeared with NVIDIA Tesla P100 in 2016, and the third-generation HBM3 saw the light of the day with NVIDIA Hopper GH100 GPU in 2022. Currently, Samsung has developed 9.8 Gbps HBM3E memory, which will start sampling to customers soon.

However, Samsung is more ambitious with development timelines this time, and the company expects to announce HBM4 in 2025, possibly with commercial products in the same calendar year. Interestingly, the HBM4 memory will have some technology optimized for high thermal properties, such as non-conductive film (NCF) assembly and hybrid copper bonding (HCB). The NCF is a polymer layer that enhances the stability of micro bumps and TSVs in the chip, so memory solder bump dies are protected from shock. Hybrid copper bonding is an advanced semiconductor packaging method that creates direct copper-to-copper connections between semiconductor components, enabling high-density, 3D-like packaging. It offers high I/O density, enhanced bandwidth, and improved power efficiency. It uses a copper layer as a conductor and oxide insulator instead of regular micro bumps to increase the connection density needed for HBM-like structures.

OLED Monitor Shipments Predicted to Soar by 323% in 2023; 2024 Shipments Expected to Surpass One Million Units

TrendForce reports that the ever-expanding dimensions of OLED products, combined with the ambitious plans of several top-tier brands, mean that 2023 could see OLED monitor shipments hitting a staggering 508,000 units—an astronomical YoY surge of 323%. Come 2024, the OLED landscape will be richer with more diverse product sizes and specifications. Add to that the debut of the 27-inch and 31.5-inch OLED panels by two leading Korean panel makers, and the arena is set for a showdown. Such dynamics are predicted to catapult OLED monitor shipments past the coveted one million unit threshold.

In terms of market share, Samsung is on track for a spirited push in OLED monitor shipments in 2H23, potentially capturing a market share of 27%—echoing LGE's performance. Not to be left behind, Dell is ardently pushing its 34-inch offering, hoping to clinch a market presence above 20%. Meanwhile, ASUS, having strategically positioned itself in the OLED monitor sector recently, is eyeing a market share nearing 9% this year.

Samsung Briefly Details Exynos 2400 SoC with Xclipse 940 AMD RDNA 3 GPU at LSI Tech Day 2023 Event

Samsung Electronics Co., Ltd., a world leader in advanced semiconductor technology, today unveiled its latest innovations in analog and logic semiconductor technologies and outlined its blueprint for upcoming technological advancements at its inaugural Samsung System LSI Tech Day 2023 event. Attended by approximately 300 customers and partners at its Samsung Semiconductor U.S. headquarters, the event featured tech sessions led by Samsung executives, covering a wide range of topics from System LSI humanoids to AI and the company's R&D endeavors in the U.S. 11In the opening keynote, Samsung emphasized the company's vision to lead hyper-intelligent, hyper-connected and hyper-data technologies in the Fourth Industrial Revolution era with its comprehensive logic solutions uniquely tailored for various industries.

"Generative AI has quickly emerged as perhaps the most significant trend of the year, demanding more powerful foundational technologies to process data and bring AI to life," said Yong-In Park, President and Head of System LSI Business at Samsung Electronics. "We are paving the path toward a new era of proactive AI, leveraging our Samsung System LSI Humanoid platform, which seamlessly converges our capabilities across a broad spectrum of logic semiconductors, from powerful computational IPs, connectivity solutions to sensors emulating the main five human senses."

Samsung and TSMC Reportedly Struggling with 3 nm Yields

According to Korean business news publication ChosunBiz, both Samsung and TSMC are struggling with their 3 nm node yields. The two companies have different approaches to their 3 nm nodes, with Samsung using GAA FET (Gate All Around), whereas TSMC is continuing with its FinFET technology. That said, TSMC has at least five known 3 nm nodes, of which two should be in production by now, assuming N3E has proved to be reliable enough to kick off. Samsung on the other hand has three known 3 nm nodes, with only one in production so far, called 3GAE.

ChosunBiz reports that neither company is getting the kind of yields that you'd expect from a node that should have been in volume production for around a year by now, with Samsung apparently being somewhat better than TSMC. At 60 and 50 percent respectively, neither Samsung nor TSMC are anywhere near decent yields. Anything below 70 percent is considered very poor and even the 60 percent claim in Samsungs case, is apparently limited to some kind of Chinese mining ASIC and doesn't include the SRAM you find in most modern processors. ChosunBiz also mentions a source familiar with Samsung's foundry business who mentions a yield closer to 50 percent for the company. The same source also mentions that Samsung needs to reach at least 70 percent yield to be able to attract major customers to its 3 nm node.

Second Half Utilization Rate for 8-inch Production Capacity Expected to Drop to 50-60%; Chilly Demand Prospects Until 1Q24

TrendForce research indicates that in 1H23, the utilization rate of 8-inch production capacity primarily benefited from sporadic inventory restocking orders for Driver ICs in the second quarter. Additionally, wafer foundries initiated pricing strategies to encourage clients into early orders, offering solid backup. However, in 2H23, persistent macroeconomic and inventory challenges led to the evaporation of an anticipated demand surge.

Meanwhile, stockpiles in automotive and industrial control segments grew after meeting initial shortages, tempering demand. Under fierce price competition from PMIC leader Texas Instruments (TI), inventory reductions for Fabless and other IDMs were drastically inhibited. With IDMs ushering in output from their new plants and pulling back outsourced orders, this compounded reductions to wafer foundries. This dynamic saw 8-inch production capacity utilization dipping to 50-60% in the second half of the year. Both Tier 1 and Tier 2/3 8-inch wafer foundries saw a more lackluster capacity utilization performance compared to the first half of the year.
Return to Keyword Browsing
Apr 23rd, 2024 16:55 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts